testbench.v03 1.1 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748
  1. `timescale 1ns/1ps
  2. module testbench;
  3. // 1. 声明变量(限制字符串位宽)
  4. reg clk;
  5. reg reset_n;
  6. wire [31:0] pc_out;
  7. integer fd;
  8. reg [8*128:1] dump_path; // 限制为 128 字节(足够存储路径)
  9. // 实例化被测设计
  10. top uut (
  11. .clk(clk),
  12. .reset_n(reset_n),
  13. .pc_out(pc_out)
  14. );
  15. // 2. 生成时钟
  16. initial begin
  17. clk = 0;
  18. forever #5 clk = ~clk;
  19. end
  20. // 3. 波形生成和错误处理
  21. initial begin
  22. // 初始化路径(显式赋值)
  23. dump_path = "./wave.vcd";
  24. // 尝试创建文件
  25. fd = $fopen(dump_path, "w");
  26. if (fd == 0) begin
  27. $display("ERROR: Cannot open %s for writing!", dump_path);
  28. $finish;
  29. end
  30. $fclose(fd);
  31. // 初始化波形记录(添加 +access+r)
  32. $dumpfile(dump_path);
  33. $dumpvars(0, testbench); // 记录所有信号
  34. $display("Waveform will be saved to %s", dump_path);
  35. // 复位和仿真控制
  36. reset_n = 0;
  37. #100 reset_n = 1;
  38. #1000 $finish;
  39. end
  40. endmodule