Wang Xuejin 1 month ago
parent
commit
f5bf2e30fc

+ 10 - 1
README.md

@@ -1,2 +1,11 @@
-# palladium_z2_demo
+# RISC-V Demo for Palladium Z2
 
+## 目录结构
+
+riscv_demo/
+├── design/
+│ └── top.v # RISC-V 顶层模块
+├── testbench/
+│ └── testbench.v # 测试激励
+└── scripts/
+└── run_all.tcl # Palladium Z2 脚本

+ 13 - 0
design/top.v

@@ -0,0 +1,13 @@
+`timescale 1ns/1ps
+module top (
+    input clk,
+    input reset_n,
+    output [31:0] pc_out
+);
+    reg [31:0] pc;
+    always @(posedge clk or negedge reset_n) begin
+        if (!reset_n) pc <= 0;
+        else pc <= pc + 4;
+    end
+    assign pc_out = pc;
+endmodule

+ 41 - 0
scrits/run_all.tcl

@@ -0,0 +1,41 @@
+# run_all.tcl - Palladium Z2 Demo Script
+puts "INFO: Starting Palladium Z2 simulation..."
+set DESIGN_NAME "top"
+set WORK_DIR "./work"
+file mkdir $WORK_DIR
+cd $WORK_DIR
+
+# 加载设计(示例,实际需替换为你的编译命令)
+puts "INFO: Loading design into Palladium Z2..."
+if {[catch {load_design -format verilog -top $DESIGN_NAME} err]} {
+    puts "ERROR: Failed to load design: $err"
+    exit 1
+}
+
+# 配置波形数据库
+puts "INFO: Setting up waveform database..."
+database -open waves -shm
+probe -create -all -depth all -database waves
+
+# 运行仿真
+puts "INFO: Running simulation for 1000 cycles..."
+run 1000
+
+# 调试信息
+if {[task_exists show_cpu_pc]} {
+    show_cpu_pc
+} else {
+    puts "WARNING: show_cpu_pc task not found"
+}
+
+# 保存波形
+if {[file exists waves.shm]} {
+    puts "INFO: Saving waveform traces..."
+    trace -name basic -database waves -add *
+    trace -save -database waves ./wave_debug.trn
+} else {
+    puts "WARNING: No waveform database found"
+}
+
+puts "INFO: Simulation completed successfully."
+exit 0

+ 63 - 0
scrits/tmp/xeenv.log

@@ -0,0 +1,63 @@
+## xeDebug starts at 09/22/2025 14:48:07, PID: 100527
+REMOTEHOST=172.16.10.16
+BLT_LIBRARY=/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/etc/ui/blt
+XDG_SESSION_ID=21345
+HOSTNAME=scmp23
+S3_ROOT=/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/bin/64bit
+HOST=scmp23
+TERM=xterm
+SHELL=/bin/csh
+AXIS_HOME=/edatools/cadence/IXCOM/IXCOM23.03.005/tools.lnx86
+SSH_CLIENT=172.16.10.16 53482 22
+QTHOME=/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86
+TIX_LIBRARY=/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/etc/ui/tix
+TK_LIBRARY=/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/etc/ui/tk
+USERPATH=/edatools/cadence/XCELIUM/XCELIUM23.09.001/bin:/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools.lnx86/bin:/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools.lnx86/inca/bin:/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools/cdsgcc/gcc/bin:/edatools/cadence/IXCOM/IXCOM23.03.005/bin:/edatools/cadence/WXE/WXE23.03.s005/share/vxe/gift/misc:/edatools/cadence/WXE/WXE23.03.s005/bin:/usr/lib64/qt-3.3/bin:/usr/local/bin:/usr/bin:/usr/local/sbin:/usr/sbin
+QTDIR=/usr/lib64/qt-3.3
+QTINC=/usr/lib64/qt-3.3/include
+SSH_TTY=/dev/pts/0
+QT_GRAPHICSSYSTEM_CHECKED=1
+GROUP=domain users
+USER=xuejin.wang
+LD_LIBRARY_PATH=/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/lib/64bit:/edatools/cadence/IXCOM/IXCOM23.03.005/tools.lnx86/lib/64bit:/edatools/cadence/IXCOM/IXCOM23.03.005/tools.lnx86/lib/64bit:/usr/lib64:/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools/systemc/lib/64bit/gnu:/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools.lnx86/lib/64bit:/edatools/cadence/IXCOM/IXCOM23.03.005/tools.lnx86/lib/64bit:/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/lib/64bit
+LS_COLORS=rs=0:di=01;34:ln=01;36:mh=00:pi=40;33:so=01;35:do=01;35:bd=40;33;01:cd=40;33;01:or=40;31;01:mi=01;05;37;41:su=37;41:sg=30;43:ca=30;41:tw=30;42:ow=34;42:st=37;44:ex=01;32:*.tar=01;31:*.tgz=01;31:*.arc=01;31:*.arj=01;31:*.taz=01;31:*.lha=01;31:*.lz4=01;31:*.lzh=01;31:*.lzma=01;31:*.tlz=01;31:*.txz=01;31:*.tzo=01;31:*.t7z=01;31:*.zip=01;31:*.z=01;31:*.Z=01;31:*.dz=01;31:*.gz=01;31:*.lrz=01;31:*.lz=01;31:*.lzo=01;31:*.xz=01;31:*.bz2=01;31:*.bz=01;31:*.tbz=01;31:*.tbz2=01;31:*.tz=01;31:*.deb=01;31:*.rpm=01;31:*.jar=01;31:*.war=01;31:*.ear=01;31:*.sar=01;31:*.rar=01;31:*.alz=01;31:*.ace=01;31:*.zoo=01;31:*.cpio=01;31:*.7z=01;31:*.rz=01;31:*.cab=01;31:*.jpg=01;35:*.jpeg=01;35:*.gif=01;35:*.bmp=01;35:*.pbm=01;35:*.pgm=01;35:*.ppm=01;35:*.tga=01;35:*.xbm=01;35:*.xpm=01;35:*.tif=01;35:*.tiff=01;35:*.png=01;35:*.svg=01;35:*.svgz=01;35:*.mng=01;35:*.pcx=01;35:*.mov=01;35:*.mpg=01;35:*.mpeg=01;35:*.m2v=01;35:*.mkv=01;35:*.webm=01;35:*.ogm=01;35:*.mp4=01;35:*.m4v=01;35:*.mp4v=01;35:*.vob=01;35:*.qt=01;35:*.nuv=01;35:*.wmv=01;35:*.asf=01;35:*.rm=01;35:*.rmvb=01;35:*.flc=01;35:*.avi=01;35:*.fli=01;35:*.flv=01;35:*.gl=01;35:*.dl=01;35:*.xcf=01;35:*.xwd=01;35:*.yuv=01;35:*.cgm=01;35:*.emf=01;35:*.axv=01;35:*.anx=01;35:*.ogv=01;35:*.ogx=01;35:*.aac=01;36:*.au=01;36:*.flac=01;36:*.mid=01;36:*.midi=01;36:*.mka=01;36:*.mp3=01;36:*.mpc=01;36:*.ogg=01;36:*.ra=01;36:*.wav=01;36:*.axa=01;36:*.oga=01;36:*.spx=01;36:*.xspf=01;36:
+TCL_LIBRARY=/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/etc/qel
+HOSTTYPE=x86_64-linux
+OS_BITS=64bit
+HDLICE_HOME=/edatools/cadence/IXCOM/IXCOM23.03.005/tools.lnx86
+MAIL=/var/spool/mail/xuejin.wang
+PATH=/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/../bin:/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/bin:/edatools/cadence/XCELIUM/XCELIUM23.09.001/bin:/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools.lnx86/bin:/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools.lnx86/inca/bin:/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools/cdsgcc/gcc/bin:/edatools/cadence/IXCOM/IXCOM23.03.005/bin:/edatools/cadence/WXE/WXE23.03.s005/share/vxe/gift/misc:/edatools/cadence/WXE/WXE23.03.s005/bin:/usr/lib64/qt-3.3/bin:/usr/local/bin:/usr/bin:/usr/local/sbin:/usr/sbin:/bin:/sbin
+XE_HARDWARE=z2
+PWD=/home/xuejin.wang/demo06/scrits
+_LMFILES_=/edatools/modules/WXE23.03.s005:/edatools/modules/IXCOM23.03.005:/edatools/modules/XCELIUM2309
+LANG=C
+MODULEPATH=/usr/share/Modules/modulefiles:/etc/modulefiles
+LOADEDMODULES=WXE23.03.s005:IXCOM23.03.005:XCELIUM2309
+DBOUT=./dbFiles
+LM_LICENSE_FILE=5280@172.16.10.96:5280@172.16.10.97:5280@172.16.10.95:5280@172.16.10.94
+KRB5CCNAME=KEYRING:persistent:1140202441
+QTHDLHOME=/edatools/cadence/IXCOM/IXCOM23.03.005/tools.lnx86
+SHLVL=1
+HOME=/home/xuejin.wang
+OSTYPE=linux
+XE_ROOT=/edatools/cadence/WXE/WXE23.03.s005
+VENDOR=unknown
+USERLIBPATH=/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools/systemc/lib/64bit/gnu:/edatools/cadence/XCELIUM/XCELIUM23.09.001/tools.lnx86/lib/64bit:/edatools/cadence/IXCOM/IXCOM23.03.005/tools.lnx86/lib/64bit:/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/lib/64bit
+MACHTYPE=x86_64
+LOGNAME=xuejin.wang
+QTLIB=/usr/lib64/qt-3.3/lib
+SSH_CONNECTION=172.16.10.16 53482 172.16.10.128 22
+AXIS_ARCH=lib/x86-lx2-64
+MODULESHOME=/usr/share/Modules
+DBIN=.:/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/lib/64bit:/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/bin:/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/bin/64bit:./64bit:./dbFiles:/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/etc/et3:/edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/bin/x86-lx2-64:/et3mach
+LESSOPEN=||/usr/bin/lesspipe.sh %s
+XDG_RUNTIME_DIR=/run/user/1140202441
+DISPLAY=localhost:10.0
+HSV_ROOT=/edatools/cadence/WXE/WXE23.03.s005
+JE_MALLOC_CONF=dirty_decay_ms:10000,muzzy_decay_ms:5000,narenas:4
+CDNS_VRAPI_SEQ_DELTA_EN=1
+CDNS_VRAPI_INST_RELVL_EN=1
+CDNS_XBT_LOG_NAME=./tmp/xmsim-aidLogs_pid100527.log
+QTLOG_FILE=xe.msg
+CvAlEgAlRoOt=100527
+

+ 56 - 0
scrits/tmp/xever.log

@@ -0,0 +1,56 @@
+
+## xeDebug starts at 09/22/2025 14:48:07 xuejin.wang V23.03.342.s005, HOST: scmp23, PID: 100527, DISPLAY: localhost:10.0
+xeDebug
+## 64bit program from /edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/bin on Linux 3.10.0-1160.el7.x86_64 64bit
+(c) 1991-2023 Cadence Design Systems, Inc. All rights reserved worldwide.
+See files in <rootdir>/share/vxe/install/Copyrights
+#)libdbg.so - 23H1_WXE, V23.03.342.s005            
+#)libdbk.so - 23H1_WXE, V23.03.342.s005            
+#)libdbs.so - 23H1_WXE, V23.03.342.s005            
+#)libdbserver.so - 23H1_WXE, V23.03.342.s005            
+#)libvec.so - 23H1_WXE, V23.03.342.s005            
+#)libvcp.so - 23H1_WXE, V23.03.342.s005            
+#)libcbid.so - 23H1_WXE, V23.03.342.s005            
+#)libdbgfv.so - 23H1_WXE, V23.03.342.s005            
+#)libswfv.so - 23H1_WXE, V23.03.342.s005            
+#)libinfinifile.so - 23H1_WXE, V23.03.342.s005            
+#)libcorun.so - 23H1_WXE, V23.03.342.s005            
+#)libdrtl.so - 23H1_WXE, V23.03.342.s005            
+#)libsdl.so - 23H1_WXE, V23.03.342.s005            
+#)libsdltm.so - 23H1_WXE, V23.03.342.s005            
+#)libaba.so - 23H1_WXE, V23.03.342.s005            
+#)libsst2w.so - 23H1_WXE, V23.03.342.s005            
+#)libfsdb.so - 23H1_WXE, V23.03.342.s005            
+#)libfsdb_wrapper.so - 23H1_WXE, V23.03.342.s005            
+#)libvw.so - 23H1_WXE, V23.03.342.s005            
+#)libvcd.so - 23H1_WXE, V23.03.342.s005            
+#)libtcf.so - 23H1_WXE, V23.03.342.s005            
+#)libsaif.so - 23H1_WXE, V23.03.342.s005            
+#)libsaifr.so - 23H1_WXE, V23.03.342.s005            
+#)libqtutil.so - 23H1_WXE, V23.03.342.s005            
+#)libdbgutil.so - 23H1_WXE, V23.03.342.s005            
+#)libeclutil.so - 23H1_WXE, V23.03.342.s005            
+#)libCompilerRT.so - 23H1_WXE, V23.03.342.s005            
+#)libdb.so - 23H1_WXE, V23.03.342.s005            
+#)libsmDB.so - 23H1_WXE, V23.03.342.s005            
+#)libtypedb.so - 23H1_WXE, V23.03.342.s005            
+#)libudqr.so - 23H1_WXE, V23.03.342.s005            
+#)libhdldb.so - 23H1_WXE, V23.03.342.s005            
+#)libhdlucdb.so - 23H1_WXE, V23.03.342.s005            
+#)libhwConfig.so - 23H1_WXE, V23.03.342.s005            
+#)libdb3.so - 23H1_WXE, V23.03.342.s005            
+#)libsideFile.so - 23H1_WXE, V23.03.342.s005            
+#)libmembin.so - 23H1_WXE, V23.03.342.s005            
+#)libtcl.so - 23H1_WXE, V23.03.342.s005            
+#)libtk.so - 23H1_WXE, V23.03.342.s005            
+#)libtkdnd.so - 23H1_WXE, V23.03.342.s005            
+#)libtix.so - 23H1_WXE, V23.03.342.s005            
+#)libfvenginei.so - 23H1_WXE, V23.03.342.s005            
+#)libzcompress.so - 23H1_WXE, V23.03.342.s005            
+#)libqt.so - 23H1_WXE, V23.03.342.s005            
+#)libqtutil.so - 23H1_WXE, V23.03.342.s005            
+#)libxeconfig.so - 23H1_WXE, V23.03.342.s005            
+#)libsd.so - 23H1_WXE, V23.03.342.s005            
+## xeDebug exits at 09/22/2025 14:48:15 Status: -1, PID: 100527
+## xeDebug Elapsed time: 0:00:08, CPU time: 0:00:00.02, Memory Usage: 1.3G
+## Child Proc. CPU time: 0:00:00.21

+ 113 - 0
scrits/xe.msg

@@ -0,0 +1,113 @@
+
+## xeDebug starts at 09/22/2025 14:48:07 xuejin.wang V23.03.342.s005, HOST: scmp23, PID: 100527, DISPLAY: localhost:10.0
+xeDebug
+## 64bit program from /edatools/cadence/WXE/WXE23.03.s005/tools.lnx86/bin on Linux 3.10.0-1160.el7.x86_64 64bit
+(c) 1991-2023 Cadence Design Systems, Inc. All rights reserved worldwide.
+See files in <rootdir>/share/vxe/install/Copyrights
+#)libdbg.so - 23H1_WXE, V23.03.342.s005            
+#)libdbk.so - 23H1_WXE, V23.03.342.s005            
+#)libdbs.so - 23H1_WXE, V23.03.342.s005            
+#)libdbserver.so - 23H1_WXE, V23.03.342.s005            
+#)libvec.so - 23H1_WXE, V23.03.342.s005            
+#)libvcp.so - 23H1_WXE, V23.03.342.s005            
+#)libcbid.so - 23H1_WXE, V23.03.342.s005            
+#)libdbgfv.so - 23H1_WXE, V23.03.342.s005            
+#)libswfv.so - 23H1_WXE, V23.03.342.s005            
+#)libinfinifile.so - 23H1_WXE, V23.03.342.s005            
+#)libcorun.so - 23H1_WXE, V23.03.342.s005            
+#)libdrtl.so - 23H1_WXE, V23.03.342.s005            
+#)libsdl.so - 23H1_WXE, V23.03.342.s005            
+#)libsdltm.so - 23H1_WXE, V23.03.342.s005            
+#)libaba.so - 23H1_WXE, V23.03.342.s005            
+#)libsst2w.so - 23H1_WXE, V23.03.342.s005            
+#)libfsdb.so - 23H1_WXE, V23.03.342.s005            
+#)libfsdb_wrapper.so - 23H1_WXE, V23.03.342.s005            
+#)libvw.so - 23H1_WXE, V23.03.342.s005            
+#)libvcd.so - 23H1_WXE, V23.03.342.s005            
+#)libtcf.so - 23H1_WXE, V23.03.342.s005            
+#)libsaif.so - 23H1_WXE, V23.03.342.s005            
+#)libsaifr.so - 23H1_WXE, V23.03.342.s005            
+#)libqtutil.so - 23H1_WXE, V23.03.342.s005            
+#)libdbgutil.so - 23H1_WXE, V23.03.342.s005            
+#)libeclutil.so - 23H1_WXE, V23.03.342.s005            
+#)libCompilerRT.so - 23H1_WXE, V23.03.342.s005            
+#)libdb.so - 23H1_WXE, V23.03.342.s005            
+#)libsmDB.so - 23H1_WXE, V23.03.342.s005            
+#)libtypedb.so - 23H1_WXE, V23.03.342.s005            
+#)libudqr.so - 23H1_WXE, V23.03.342.s005            
+#)libhdldb.so - 23H1_WXE, V23.03.342.s005            
+#)libhdlucdb.so - 23H1_WXE, V23.03.342.s005            
+#)libhwConfig.so - 23H1_WXE, V23.03.342.s005            
+#)libdb3.so - 23H1_WXE, V23.03.342.s005            
+#)libsideFile.so - 23H1_WXE, V23.03.342.s005            
+#)libmembin.so - 23H1_WXE, V23.03.342.s005            
+#)libtcl.so - 23H1_WXE, V23.03.342.s005            
+#)libtk.so - 23H1_WXE, V23.03.342.s005            
+#)libtkdnd.so - 23H1_WXE, V23.03.342.s005            
+#)libtix.so - 23H1_WXE, V23.03.342.s005            
+#)libfvenginei.so - 23H1_WXE, V23.03.342.s005            
+#)libzcompress.so - 23H1_WXE, V23.03.342.s005            
+#)libqt.so - 23H1_WXE, V23.03.342.s005            
+#)libqtutil.so - 23H1_WXE, V23.03.342.s005            
+#)libxeconfig.so - 23H1_WXE, V23.03.342.s005            
+#)libsd.so - 23H1_WXE, V23.03.342.s005            
+* System parameters:
+vm/overcommit_memory: 2
+vm/overcommit_ratio: 100
+vm/oom_dump_tasks: 1
+vm/oom_kill_allocating_task: 0
+% ulimit -a
+core file size          (blocks, -c) 0
+data seg size           (kbytes, -d) unlimited
+scheduling priority             (-e) 0
+file size               (blocks, -f) unlimited
+pending signals                 (-i) 13413065
+max locked memory       (kbytes, -l) unlimited
+max memory size         (kbytes, -m) unlimited
+open files                      (-n) 16384
+pipe size            (512 bytes, -p) 8
+POSIX message queues     (bytes, -q) 819200
+real-time priority              (-r) 0
+stack size              (kbytes, -s) 32768
+cpu time               (seconds, -t) unlimited
+max user processes              (-u) 13413065
+virtual memory          (kbytes, -v) unlimited
+file locks                      (-x) unlimited
+* CPU info:
+  Number of CPUs: 144
+  Name: Intel(R) Xeon(R) Gold 6240L CPU @ 2.60GHz
+  Vendor: GenuineIntel, family: 6, model: 85, stepping: 7
+  CPU min MHz: 1000.0000, BogoMIPS: 5200.00, virtualization: VT-x
+  L1d cache: 32K, L1i cache: 32K, L2 cache: 1024K, L3 cache: 25344K
+* Memory info:
+              total     used     free   shared  buffers   cached
+Mem  (GB):     3274      109     3165        0        0        7
+Swap (GB):        3        0        3
+* Load info:
+  6490 threads
+  Load (avg):    1 min (% CPU) |     5 min (% CPU) |    15 min (% CPU)
+               0.04 (  0% CPU) |   0.03 (  0% CPU) |   0.05 (  0% CPU)
+System info: scmp23 - xeDebug - 100527 363095 - qtInit - 2025-09-22 14:48:07 - 0.04 0% 0.03 0% 0.05 0% - 3274 109 3165 7 3 0 3
+000,000 s: WARNING (legacy-52177): There is no remote shell in your path.
+000,000 s: Check the value of XE_SECURE_CONNECTION and your OS environment.
+000,000 s: REPORT (legacy-55007): CDN_FV_NO_LOCAL_HOST value is auto. CDN_FV_NO_LOCAL_HOST setting is auto.
+000,000 s: XE> * Memory info:
+              total     used     free   shared  buffers   cached
+Mem  (GB):     3274      109     3165        0        0        7
+Swap (GB):        3        0        3
+* Load info:
+  6492 threads
+  Load (avg):    1 min (% CPU) |     5 min (% CPU) |    15 min (% CPU)
+               0.04 (  0% CPU) |   0.03 (  0% CPU) |   0.05 (  0% CPU)
+System info: scmp23 - xeDebug - 100527 363095 - qtExit - 2025-09-22 14:48:15 - 0.04 0% 0.03 0% 0.05 0% - 3274 109 3165 7 3 0 3
+Peak usage info for xeDebug (pid 100527, ppid 363095) on scmp23: CPU usage 0.00, Peak mem (Res 0 GB, Virt 1 GB), freePhyMem 3166 GB, totalPhyMem 3275 GB, freeSwap 4 GB, totalSwap 4 GB
+source run_all.tcl
+000,008 s: INFO: Starting Palladium Z2 simulation...
+000,008 s: INFO: Loading design into Palladium Z2...
+000,008 s: ERROR: Failed to load design: invalid command name "load_design"
+000,008 s: xe> exit 1
+000,008 s: INFO (legacy-45111): Unhandled exception found: in make_fileptr(): No such file or directory. Exiting...
+DRM memory info: scmp23 - xeDebug - 100527 - 363095 - unknown unlimited
+## xeDebug exits at 09/22/2025 14:48:15 Status: -1, PID: 100527
+## xeDebug Elapsed time: 0:00:08, CPU time: 0:00:00.02, Memory Usage: 1.3G
+## Child Proc. CPU time: 0:00:00.21

+ 0 - 0
scrits/xeDebug.key


+ 0 - 0
scrits/xeDebug.log


+ 47 - 0
testbench/testbench.v

@@ -0,0 +1,47 @@
+`timescale 1ns/1ps
+
+module testbench;
+    // 1. 声明变量(限制位宽为 128 位,即 16 字符)
+    reg clk;
+    reg reset_n;
+    wire [31:0] pc_out;
+    integer fd;
+    reg [8*16:1] dump_path;  // 16 字符足够存储路径
+
+    // 实例化被测设计
+    top uut (
+        .clk(clk),
+        .reset_n(reset_n),
+        .pc_out(pc_out)
+    );
+
+    // 2. 生成时钟
+    initial begin
+        clk = 0;
+        forever #5 clk = ~clk;
+    end
+
+    // 3. 波形生成和错误处理
+    initial begin
+        // 初始化路径(显式赋值)
+        dump_path = "./wave.vcd";  // 自动填充低位,高位补零
+
+        // 尝试创建文件
+        fd = $fopen(dump_path, "w");
+        if (fd == 0) begin
+            $display("ERROR: Cannot open %s for writing!", dump_path);
+            $finish;
+        end
+        $fclose(fd);
+
+        // 初始化波形记录(添加 +access+r)
+        $dumpfile(dump_path);
+        $dumpvars(0, testbench);  // 记录所有信号
+        $display("Waveform will be saved to %s", dump_path);
+
+        // 复位和仿真控制
+        reset_n = 0;
+        #100 reset_n = 1;
+        #10000 $finish;
+    end
+endmodule

+ 46 - 0
testbench/testbench.v01

@@ -0,0 +1,46 @@
+`timescale 1ns/1ps
+
+module testbench;
+    // 1. 在模块开头声明所有变量
+    reg clk;
+    reg reset_n;
+    wire [31:0] pc_out;
+    integer fd;  // 文件描述符
+    string dump_path = "/tmp/wave.vcd";  // 字符串变量
+
+
+// 实例化被测设计
+top uut (
+    .clk(clk),
+    .reset_n(reset_n),
+    .pc_out(pc_out)
+);
+
+// 2. 生成时钟
+initial begin
+    clk = 0;
+    forever #5 clk = ~clk;  // 100MHz 时钟
+end
+
+// 3. 波形生成和错误处理
+initial begin
+    // 尝试创建文件
+    fd = $fopen(dump_path, &quot;w&quot;);
+    if (fd == 0) begin
+        $display(&quot;ERROR: Cannot open %s for writing!&quot;, dump_path);
+        $finish;
+    end
+    $fclose(fd);
+
+    // 初始化波形记录
+    $dumpfile(dump_path);
+    $dumpvars(0, testbench);
+    $display(&quot;Waveform will be saved to %s&quot;, dump_path);
+
+    // 复位和仿真控制
+    reset_n = 0;
+    #100 reset_n = 1;
+    #1000 $finish;
+end
+
+endmodule

+ 49 - 0
testbench/testbench.v02

@@ -0,0 +1,49 @@
+`timescale 1ns/1ps
+
+module testbench;
+    // 1. 声明变量(纯 Verilog)
+    reg clk;
+    reg reset_n;
+    wire [31:0] pc_out;
+    integer fd;  // 文件描述符
+    reg [8*80:1] dump_path;  // 用 reg 数组模拟字符串
+
+    // 实例化被测设计
+    top uut (
+        .clk(clk),
+        .reset_n(reset_n),
+        .pc_out(pc_out)
+    );
+
+    // 2. 生成时钟
+    initial begin
+        clk = 0;
+        forever #5 clk = ~clk;  // 100MHz 时钟
+    end
+
+    // 3. 波形生成和错误处理
+    initial begin
+        // 初始化路径(用字符串拼接模拟)
+        dump_path = "/tmp/wave.vcd";  // Verilog 中直接赋值 reg 数组
+
+        // 尝试创建文件
+        fd = $fopen(dump_path, "w");
+        if (fd == 0) begin
+            $display("ERROR: Cannot open ", dump_path, " for writing!");  // 字符串拼接
+            $finish;
+        end
+        $fclose(fd);
+
+        // 初始化波形记录
+        $dumpfile(dump_path);
+        $dumpvars(0, testbench);
+        $display("Waveform will be saved to ", dump_path);  // 字符串拼接
+
+        // 复位和仿真控制
+        reset_n = 0;
+        #100 reset_n = 1;
+        #1000 $finish;
+    end
+
+endmodule
+

+ 48 - 0
testbench/testbench.v03

@@ -0,0 +1,48 @@
+`timescale 1ns/1ps
+
+module testbench;
+    // 1. 声明变量(限制字符串位宽)
+    reg clk;
+    reg reset_n;
+    wire [31:0] pc_out;
+    integer fd;
+    reg [8*128:1] dump_path;  // 限制为 128 字节(足够存储路径)
+
+    // 实例化被测设计
+    top uut (
+        .clk(clk),
+        .reset_n(reset_n),
+        .pc_out(pc_out)
+    );
+
+    // 2. 生成时钟
+    initial begin
+        clk = 0;
+        forever #5 clk = ~clk;
+    end
+
+    // 3. 波形生成和错误处理
+    initial begin
+        // 初始化路径(显式赋值)
+        dump_path = "./wave.vcd";
+
+        // 尝试创建文件
+        fd = $fopen(dump_path, "w");
+        if (fd == 0) begin
+            $display("ERROR: Cannot open %s for writing!", dump_path);
+            $finish;
+        end
+        $fclose(fd);
+
+        // 初始化波形记录(添加 +access+r)
+        $dumpfile(dump_path);
+        $dumpvars(0, testbench);  // 记录所有信号
+        $display("Waveform will be saved to %s", dump_path);
+
+        // 复位和仿真控制
+        reset_n = 0;
+        #100 reset_n = 1;
+        #1000 $finish;
+    end
+
+endmodule

+ 48 - 0
testbench/testbench.v04

@@ -0,0 +1,48 @@
+`timescale 1ns/1ps
+
+module testbench;
+    // 1. 声明变量(限制字符串位宽)
+    reg clk;
+    reg reset_n;
+    wire [31:0] pc_out;
+    integer fd;
+    reg [8*128:1] dump_path;  // 限制为 128 字节(足够存储路径)
+
+    // 实例化被测设计
+    top uut (
+        .clk(clk),
+        .reset_n(reset_n),
+        .pc_out(pc_out)
+    );
+
+    // 2. 生成时钟
+    initial begin
+        clk = 0;
+        forever #5 clk = ~clk;
+    end
+
+    // 3. 波形生成和错误处理
+    initial begin
+        // 初始化路径(显式赋值)
+        dump_path = "./wave.vcd";
+
+        // 尝试创建文件
+        fd = $fopen(dump_path, "w");
+        if (fd == 0) begin
+            $display("ERROR: Cannot open %s for writing!", dump_path);
+            $finish;
+        end
+        $fclose(fd);
+
+        // 初始化波形记录(添加 +access+r)
+        $dumpfile(dump_path);
+        $dumpvars(0, testbench);  // 记录所有信号
+        $display("Waveform will be saved to %s", dump_path);
+
+        // 复位和仿真控制
+        reset_n = 0;
+        #100 reset_n = 1;
+        #1000 $finish;
+    end
+
+endmodule

+ 46 - 0
testbench/testbench.v_SystemVerilog

@@ -0,0 +1,46 @@
+`timescale 1ns/1ps
+
+module testbench;
+    // 1. 声明所有变量
+    reg clk;
+    reg reset_n;
+    wire [31:0] pc_out;
+    integer fd;
+    string dump_path = "/tmp/wave.vcd";  // SystemVerilog 支持直接赋值
+
+    // 实例化被测设计
+    top uut (
+        .clk(clk),
+        .reset_n(reset_n),
+        .pc_out(pc_out)
+    );
+
+    // 2. 生成时钟
+    initial begin
+        clk = 0;
+        forever #5 clk = ~clk;
+    end
+
+    // 3. 波形生成和错误处理
+    initial begin
+        // 尝试创建文件
+        fd = $fopen(dump_path, "w");
+        if (fd == 0) begin
+            string err_msg = $sformatf("ERROR: Cannot open %s for writing!", dump_path);  // SystemVerilog 格式化
+            $display("%s", err_msg);
+            $finish;
+        end
+        $fclose(fd);
+
+        // 初始化波形记录
+        $dumpfile(dump_path);
+        $dumpvars(0, testbench);
+        $display("Waveform will be saved to %s", dump_path);  // 部分工具支持 %s
+
+        // 复位和仿真控制
+        reset_n = 0;
+        #100 reset_n = 1;
+        #1000 $finish;
+    end
+
+endmodule

+ 1 - 0
testbench/xmverilog.history

@@ -0,0 +1 @@
+s1(22Sep2025:14:47:22):  xmverilog +acc+rbn -64bit design/top.v testbench/testbench.v 

+ 10 - 0
testbench/xmverilog.log

@@ -0,0 +1,10 @@
+xmverilog(64): 23.09-s001: (c) Copyright 1995-2023 Cadence Design Systems, Inc.
+TOOL:	xmverilog	23.09-s001: Started on Sep 22, 2025 at 14:47:21 CST
+xmverilog
+	+acc+rbn
+	-64bit
+	design/top.v
+	testbench/testbench.v
+xmverilog: *E,FILEMIS: Cannot find the provided file design/top.v.
+xmverilog: *E,FILEMIS: Cannot find the provided file testbench/testbench.v.
+TOOL:	xmverilog	23.09-s001: Exiting on Sep 22, 2025 at 14:47:22 CST  (total: 00:00:01)

+ 8097 - 0
wave.vcd

@@ -0,0 +1,8097 @@
+$date
+    Sep 22, 2025  15:17:58
+$end
+$version
+    TOOL:	xmsim(64)	23.09-s001
+$end
+$timescale
+    1 ps
+$end
+
+$scope module testbench $end
+$var reg       1 !    clk $end
+$var reg       1 "    reset_n $end
+$var wire     32 #    pc_out [31:0] $end
+$var integer  32 $    fd $end
+$var reg      128 %    dump_path [128:1] $end
+
+$scope module uut $end
+$var wire      1 &    clk  $end
+$var wire      1 '    reset_n  $end
+$var wire     32 #    pc_out [31:0] $end
+$var reg      32 (    pc [31:0] $end
+$upscope $end
+
+$upscope $end
+
+$enddefinitions $end
+$dumpvars
+0!
+0"
+b0 #
+b10000000000000000000000000000011 $
+b101110001011110111011101100001011101100110010100101110011101100110001101100100 %
+0&
+0'
+b0 (
+$end
+#5000
+1!
+1&
+#10000
+0!
+0&
+#15000
+1!
+1&
+#20000
+0!
+0&
+#25000
+1!
+1&
+#30000
+0!
+0&
+#35000
+1!
+1&
+#40000
+0!
+0&
+#45000
+1!
+1&
+#50000
+0!
+0&
+#55000
+1!
+1&
+#60000
+0!
+0&
+#65000
+1!
+1&
+#70000
+0!
+0&
+#75000
+1!
+1&
+#80000
+0!
+0&
+#85000
+1!
+1&
+#90000
+0!
+0&
+#95000
+1!
+1&
+#100000
+1"
+0!
+0&
+1'
+#105000
+1!
+1&
+b100 (
+b100 #
+#110000
+0!
+0&
+#115000
+1!
+1&
+b1000 (
+b1000 #
+#120000
+0!
+0&
+#125000
+1!
+1&
+b1100 (
+b1100 #
+#130000
+0!
+0&
+#135000
+1!
+1&
+b10000 (
+b10000 #
+#140000
+0!
+0&
+#145000
+1!
+1&
+b10100 (
+b10100 #
+#150000
+0!
+0&
+#155000
+1!
+1&
+b11000 (
+b11000 #
+#160000
+0!
+0&
+#165000
+1!
+1&
+b11100 (
+b11100 #
+#170000
+0!
+0&
+#175000
+1!
+1&
+b100000 (
+b100000 #
+#180000
+0!
+0&
+#185000
+1!
+1&
+b100100 (
+b100100 #
+#190000
+0!
+0&
+#195000
+1!
+1&
+b101000 (
+b101000 #
+#200000
+0!
+0&
+#205000
+1!
+1&
+b101100 (
+b101100 #
+#210000
+0!
+0&
+#215000
+1!
+1&
+b110000 (
+b110000 #
+#220000
+0!
+0&
+#225000
+1!
+1&
+b110100 (
+b110100 #
+#230000
+0!
+0&
+#235000
+1!
+1&
+b111000 (
+b111000 #
+#240000
+0!
+0&
+#245000
+1!
+1&
+b111100 (
+b111100 #
+#250000
+0!
+0&
+#255000
+1!
+1&
+b1000000 (
+b1000000 #
+#260000
+0!
+0&
+#265000
+1!
+1&
+b1000100 (
+b1000100 #
+#270000
+0!
+0&
+#275000
+1!
+1&
+b1001000 (
+b1001000 #
+#280000
+0!
+0&
+#285000
+1!
+1&
+b1001100 (
+b1001100 #
+#290000
+0!
+0&
+#295000
+1!
+1&
+b1010000 (
+b1010000 #
+#300000
+0!
+0&
+#305000
+1!
+1&
+b1010100 (
+b1010100 #
+#310000
+0!
+0&
+#315000
+1!
+1&
+b1011000 (
+b1011000 #
+#320000
+0!
+0&
+#325000
+1!
+1&
+b1011100 (
+b1011100 #
+#330000
+0!
+0&
+#335000
+1!
+1&
+b1100000 (
+b1100000 #
+#340000
+0!
+0&
+#345000
+1!
+1&
+b1100100 (
+b1100100 #
+#350000
+0!
+0&
+#355000
+1!
+1&
+b1101000 (
+b1101000 #
+#360000
+0!
+0&
+#365000
+1!
+1&
+b1101100 (
+b1101100 #
+#370000
+0!
+0&
+#375000
+1!
+1&
+b1110000 (
+b1110000 #
+#380000
+0!
+0&
+#385000
+1!
+1&
+b1110100 (
+b1110100 #
+#390000
+0!
+0&
+#395000
+1!
+1&
+b1111000 (
+b1111000 #
+#400000
+0!
+0&
+#405000
+1!
+1&
+b1111100 (
+b1111100 #
+#410000
+0!
+0&
+#415000
+1!
+1&
+b10000000 (
+b10000000 #
+#420000
+0!
+0&
+#425000
+1!
+1&
+b10000100 (
+b10000100 #
+#430000
+0!
+0&
+#435000
+1!
+1&
+b10001000 (
+b10001000 #
+#440000
+0!
+0&
+#445000
+1!
+1&
+b10001100 (
+b10001100 #
+#450000
+0!
+0&
+#455000
+1!
+1&
+b10010000 (
+b10010000 #
+#460000
+0!
+0&
+#465000
+1!
+1&
+b10010100 (
+b10010100 #
+#470000
+0!
+0&
+#475000
+1!
+1&
+b10011000 (
+b10011000 #
+#480000
+0!
+0&
+#485000
+1!
+1&
+b10011100 (
+b10011100 #
+#490000
+0!
+0&
+#495000
+1!
+1&
+b10100000 (
+b10100000 #
+#500000
+0!
+0&
+#505000
+1!
+1&
+b10100100 (
+b10100100 #
+#510000
+0!
+0&
+#515000
+1!
+1&
+b10101000 (
+b10101000 #
+#520000
+0!
+0&
+#525000
+1!
+1&
+b10101100 (
+b10101100 #
+#530000
+0!
+0&
+#535000
+1!
+1&
+b10110000 (
+b10110000 #
+#540000
+0!
+0&
+#545000
+1!
+1&
+b10110100 (
+b10110100 #
+#550000
+0!
+0&
+#555000
+1!
+1&
+b10111000 (
+b10111000 #
+#560000
+0!
+0&
+#565000
+1!
+1&
+b10111100 (
+b10111100 #
+#570000
+0!
+0&
+#575000
+1!
+1&
+b11000000 (
+b11000000 #
+#580000
+0!
+0&
+#585000
+1!
+1&
+b11000100 (
+b11000100 #
+#590000
+0!
+0&
+#595000
+1!
+1&
+b11001000 (
+b11001000 #
+#600000
+0!
+0&
+#605000
+1!
+1&
+b11001100 (
+b11001100 #
+#610000
+0!
+0&
+#615000
+1!
+1&
+b11010000 (
+b11010000 #
+#620000
+0!
+0&
+#625000
+1!
+1&
+b11010100 (
+b11010100 #
+#630000
+0!
+0&
+#635000
+1!
+1&
+b11011000 (
+b11011000 #
+#640000
+0!
+0&
+#645000
+1!
+1&
+b11011100 (
+b11011100 #
+#650000
+0!
+0&
+#655000
+1!
+1&
+b11100000 (
+b11100000 #
+#660000
+0!
+0&
+#665000
+1!
+1&
+b11100100 (
+b11100100 #
+#670000
+0!
+0&
+#675000
+1!
+1&
+b11101000 (
+b11101000 #
+#680000
+0!
+0&
+#685000
+1!
+1&
+b11101100 (
+b11101100 #
+#690000
+0!
+0&
+#695000
+1!
+1&
+b11110000 (
+b11110000 #
+#700000
+0!
+0&
+#705000
+1!
+1&
+b11110100 (
+b11110100 #
+#710000
+0!
+0&
+#715000
+1!
+1&
+b11111000 (
+b11111000 #
+#720000
+0!
+0&
+#725000
+1!
+1&
+b11111100 (
+b11111100 #
+#730000
+0!
+0&
+#735000
+1!
+1&
+b100000000 (
+b100000000 #
+#740000
+0!
+0&
+#745000
+1!
+1&
+b100000100 (
+b100000100 #
+#750000
+0!
+0&
+#755000
+1!
+1&
+b100001000 (
+b100001000 #
+#760000
+0!
+0&
+#765000
+1!
+1&
+b100001100 (
+b100001100 #
+#770000
+0!
+0&
+#775000
+1!
+1&
+b100010000 (
+b100010000 #
+#780000
+0!
+0&
+#785000
+1!
+1&
+b100010100 (
+b100010100 #
+#790000
+0!
+0&
+#795000
+1!
+1&
+b100011000 (
+b100011000 #
+#800000
+0!
+0&
+#805000
+1!
+1&
+b100011100 (
+b100011100 #
+#810000
+0!
+0&
+#815000
+1!
+1&
+b100100000 (
+b100100000 #
+#820000
+0!
+0&
+#825000
+1!
+1&
+b100100100 (
+b100100100 #
+#830000
+0!
+0&
+#835000
+1!
+1&
+b100101000 (
+b100101000 #
+#840000
+0!
+0&
+#845000
+1!
+1&
+b100101100 (
+b100101100 #
+#850000
+0!
+0&
+#855000
+1!
+1&
+b100110000 (
+b100110000 #
+#860000
+0!
+0&
+#865000
+1!
+1&
+b100110100 (
+b100110100 #
+#870000
+0!
+0&
+#875000
+1!
+1&
+b100111000 (
+b100111000 #
+#880000
+0!
+0&
+#885000
+1!
+1&
+b100111100 (
+b100111100 #
+#890000
+0!
+0&
+#895000
+1!
+1&
+b101000000 (
+b101000000 #
+#900000
+0!
+0&
+#905000
+1!
+1&
+b101000100 (
+b101000100 #
+#910000
+0!
+0&
+#915000
+1!
+1&
+b101001000 (
+b101001000 #
+#920000
+0!
+0&
+#925000
+1!
+1&
+b101001100 (
+b101001100 #
+#930000
+0!
+0&
+#935000
+1!
+1&
+b101010000 (
+b101010000 #
+#940000
+0!
+0&
+#945000
+1!
+1&
+b101010100 (
+b101010100 #
+#950000
+0!
+0&
+#955000
+1!
+1&
+b101011000 (
+b101011000 #
+#960000
+0!
+0&
+#965000
+1!
+1&
+b101011100 (
+b101011100 #
+#970000
+0!
+0&
+#975000
+1!
+1&
+b101100000 (
+b101100000 #
+#980000
+0!
+0&
+#985000
+1!
+1&
+b101100100 (
+b101100100 #
+#990000
+0!
+0&
+#995000
+1!
+1&
+b101101000 (
+b101101000 #
+#1000000
+0!
+0&
+#1005000
+1!
+1&
+b101101100 (
+b101101100 #
+#1010000
+0!
+0&
+#1015000
+1!
+1&
+b101110000 (
+b101110000 #
+#1020000
+0!
+0&
+#1025000
+1!
+1&
+b101110100 (
+b101110100 #
+#1030000
+0!
+0&
+#1035000
+1!
+1&
+b101111000 (
+b101111000 #
+#1040000
+0!
+0&
+#1045000
+1!
+1&
+b101111100 (
+b101111100 #
+#1050000
+0!
+0&
+#1055000
+1!
+1&
+b110000000 (
+b110000000 #
+#1060000
+0!
+0&
+#1065000
+1!
+1&
+b110000100 (
+b110000100 #
+#1070000
+0!
+0&
+#1075000
+1!
+1&
+b110001000 (
+b110001000 #
+#1080000
+0!
+0&
+#1085000
+1!
+1&
+b110001100 (
+b110001100 #
+#1090000
+0!
+0&
+#1095000
+1!
+1&
+b110010000 (
+b110010000 #
+#1100000
+0!
+0&
+#1105000
+1!
+1&
+b110010100 (
+b110010100 #
+#1110000
+0!
+0&
+#1115000
+1!
+1&
+b110011000 (
+b110011000 #
+#1120000
+0!
+0&
+#1125000
+1!
+1&
+b110011100 (
+b110011100 #
+#1130000
+0!
+0&
+#1135000
+1!
+1&
+b110100000 (
+b110100000 #
+#1140000
+0!
+0&
+#1145000
+1!
+1&
+b110100100 (
+b110100100 #
+#1150000
+0!
+0&
+#1155000
+1!
+1&
+b110101000 (
+b110101000 #
+#1160000
+0!
+0&
+#1165000
+1!
+1&
+b110101100 (
+b110101100 #
+#1170000
+0!
+0&
+#1175000
+1!
+1&
+b110110000 (
+b110110000 #
+#1180000
+0!
+0&
+#1185000
+1!
+1&
+b110110100 (
+b110110100 #
+#1190000
+0!
+0&
+#1195000
+1!
+1&
+b110111000 (
+b110111000 #
+#1200000
+0!
+0&
+#1205000
+1!
+1&
+b110111100 (
+b110111100 #
+#1210000
+0!
+0&
+#1215000
+1!
+1&
+b111000000 (
+b111000000 #
+#1220000
+0!
+0&
+#1225000
+1!
+1&
+b111000100 (
+b111000100 #
+#1230000
+0!
+0&
+#1235000
+1!
+1&
+b111001000 (
+b111001000 #
+#1240000
+0!
+0&
+#1245000
+1!
+1&
+b111001100 (
+b111001100 #
+#1250000
+0!
+0&
+#1255000
+1!
+1&
+b111010000 (
+b111010000 #
+#1260000
+0!
+0&
+#1265000
+1!
+1&
+b111010100 (
+b111010100 #
+#1270000
+0!
+0&
+#1275000
+1!
+1&
+b111011000 (
+b111011000 #
+#1280000
+0!
+0&
+#1285000
+1!
+1&
+b111011100 (
+b111011100 #
+#1290000
+0!
+0&
+#1295000
+1!
+1&
+b111100000 (
+b111100000 #
+#1300000
+0!
+0&
+#1305000
+1!
+1&
+b111100100 (
+b111100100 #
+#1310000
+0!
+0&
+#1315000
+1!
+1&
+b111101000 (
+b111101000 #
+#1320000
+0!
+0&
+#1325000
+1!
+1&
+b111101100 (
+b111101100 #
+#1330000
+0!
+0&
+#1335000
+1!
+1&
+b111110000 (
+b111110000 #
+#1340000
+0!
+0&
+#1345000
+1!
+1&
+b111110100 (
+b111110100 #
+#1350000
+0!
+0&
+#1355000
+1!
+1&
+b111111000 (
+b111111000 #
+#1360000
+0!
+0&
+#1365000
+1!
+1&
+b111111100 (
+b111111100 #
+#1370000
+0!
+0&
+#1375000
+1!
+1&
+b1000000000 (
+b1000000000 #
+#1380000
+0!
+0&
+#1385000
+1!
+1&
+b1000000100 (
+b1000000100 #
+#1390000
+0!
+0&
+#1395000
+1!
+1&
+b1000001000 (
+b1000001000 #
+#1400000
+0!
+0&
+#1405000
+1!
+1&
+b1000001100 (
+b1000001100 #
+#1410000
+0!
+0&
+#1415000
+1!
+1&
+b1000010000 (
+b1000010000 #
+#1420000
+0!
+0&
+#1425000
+1!
+1&
+b1000010100 (
+b1000010100 #
+#1430000
+0!
+0&
+#1435000
+1!
+1&
+b1000011000 (
+b1000011000 #
+#1440000
+0!
+0&
+#1445000
+1!
+1&
+b1000011100 (
+b1000011100 #
+#1450000
+0!
+0&
+#1455000
+1!
+1&
+b1000100000 (
+b1000100000 #
+#1460000
+0!
+0&
+#1465000
+1!
+1&
+b1000100100 (
+b1000100100 #
+#1470000
+0!
+0&
+#1475000
+1!
+1&
+b1000101000 (
+b1000101000 #
+#1480000
+0!
+0&
+#1485000
+1!
+1&
+b1000101100 (
+b1000101100 #
+#1490000
+0!
+0&
+#1495000
+1!
+1&
+b1000110000 (
+b1000110000 #
+#1500000
+0!
+0&
+#1505000
+1!
+1&
+b1000110100 (
+b1000110100 #
+#1510000
+0!
+0&
+#1515000
+1!
+1&
+b1000111000 (
+b1000111000 #
+#1520000
+0!
+0&
+#1525000
+1!
+1&
+b1000111100 (
+b1000111100 #
+#1530000
+0!
+0&
+#1535000
+1!
+1&
+b1001000000 (
+b1001000000 #
+#1540000
+0!
+0&
+#1545000
+1!
+1&
+b1001000100 (
+b1001000100 #
+#1550000
+0!
+0&
+#1555000
+1!
+1&
+b1001001000 (
+b1001001000 #
+#1560000
+0!
+0&
+#1565000
+1!
+1&
+b1001001100 (
+b1001001100 #
+#1570000
+0!
+0&
+#1575000
+1!
+1&
+b1001010000 (
+b1001010000 #
+#1580000
+0!
+0&
+#1585000
+1!
+1&
+b1001010100 (
+b1001010100 #
+#1590000
+0!
+0&
+#1595000
+1!
+1&
+b1001011000 (
+b1001011000 #
+#1600000
+0!
+0&
+#1605000
+1!
+1&
+b1001011100 (
+b1001011100 #
+#1610000
+0!
+0&
+#1615000
+1!
+1&
+b1001100000 (
+b1001100000 #
+#1620000
+0!
+0&
+#1625000
+1!
+1&
+b1001100100 (
+b1001100100 #
+#1630000
+0!
+0&
+#1635000
+1!
+1&
+b1001101000 (
+b1001101000 #
+#1640000
+0!
+0&
+#1645000
+1!
+1&
+b1001101100 (
+b1001101100 #
+#1650000
+0!
+0&
+#1655000
+1!
+1&
+b1001110000 (
+b1001110000 #
+#1660000
+0!
+0&
+#1665000
+1!
+1&
+b1001110100 (
+b1001110100 #
+#1670000
+0!
+0&
+#1675000
+1!
+1&
+b1001111000 (
+b1001111000 #
+#1680000
+0!
+0&
+#1685000
+1!
+1&
+b1001111100 (
+b1001111100 #
+#1690000
+0!
+0&
+#1695000
+1!
+1&
+b1010000000 (
+b1010000000 #
+#1700000
+0!
+0&
+#1705000
+1!
+1&
+b1010000100 (
+b1010000100 #
+#1710000
+0!
+0&
+#1715000
+1!
+1&
+b1010001000 (
+b1010001000 #
+#1720000
+0!
+0&
+#1725000
+1!
+1&
+b1010001100 (
+b1010001100 #
+#1730000
+0!
+0&
+#1735000
+1!
+1&
+b1010010000 (
+b1010010000 #
+#1740000
+0!
+0&
+#1745000
+1!
+1&
+b1010010100 (
+b1010010100 #
+#1750000
+0!
+0&
+#1755000
+1!
+1&
+b1010011000 (
+b1010011000 #
+#1760000
+0!
+0&
+#1765000
+1!
+1&
+b1010011100 (
+b1010011100 #
+#1770000
+0!
+0&
+#1775000
+1!
+1&
+b1010100000 (
+b1010100000 #
+#1780000
+0!
+0&
+#1785000
+1!
+1&
+b1010100100 (
+b1010100100 #
+#1790000
+0!
+0&
+#1795000
+1!
+1&
+b1010101000 (
+b1010101000 #
+#1800000
+0!
+0&
+#1805000
+1!
+1&
+b1010101100 (
+b1010101100 #
+#1810000
+0!
+0&
+#1815000
+1!
+1&
+b1010110000 (
+b1010110000 #
+#1820000
+0!
+0&
+#1825000
+1!
+1&
+b1010110100 (
+b1010110100 #
+#1830000
+0!
+0&
+#1835000
+1!
+1&
+b1010111000 (
+b1010111000 #
+#1840000
+0!
+0&
+#1845000
+1!
+1&
+b1010111100 (
+b1010111100 #
+#1850000
+0!
+0&
+#1855000
+1!
+1&
+b1011000000 (
+b1011000000 #
+#1860000
+0!
+0&
+#1865000
+1!
+1&
+b1011000100 (
+b1011000100 #
+#1870000
+0!
+0&
+#1875000
+1!
+1&
+b1011001000 (
+b1011001000 #
+#1880000
+0!
+0&
+#1885000
+1!
+1&
+b1011001100 (
+b1011001100 #
+#1890000
+0!
+0&
+#1895000
+1!
+1&
+b1011010000 (
+b1011010000 #
+#1900000
+0!
+0&
+#1905000
+1!
+1&
+b1011010100 (
+b1011010100 #
+#1910000
+0!
+0&
+#1915000
+1!
+1&
+b1011011000 (
+b1011011000 #
+#1920000
+0!
+0&
+#1925000
+1!
+1&
+b1011011100 (
+b1011011100 #
+#1930000
+0!
+0&
+#1935000
+1!
+1&
+b1011100000 (
+b1011100000 #
+#1940000
+0!
+0&
+#1945000
+1!
+1&
+b1011100100 (
+b1011100100 #
+#1950000
+0!
+0&
+#1955000
+1!
+1&
+b1011101000 (
+b1011101000 #
+#1960000
+0!
+0&
+#1965000
+1!
+1&
+b1011101100 (
+b1011101100 #
+#1970000
+0!
+0&
+#1975000
+1!
+1&
+b1011110000 (
+b1011110000 #
+#1980000
+0!
+0&
+#1985000
+1!
+1&
+b1011110100 (
+b1011110100 #
+#1990000
+0!
+0&
+#1995000
+1!
+1&
+b1011111000 (
+b1011111000 #
+#2000000
+0!
+0&
+#2005000
+1!
+1&
+b1011111100 (
+b1011111100 #
+#2010000
+0!
+0&
+#2015000
+1!
+1&
+b1100000000 (
+b1100000000 #
+#2020000
+0!
+0&
+#2025000
+1!
+1&
+b1100000100 (
+b1100000100 #
+#2030000
+0!
+0&
+#2035000
+1!
+1&
+b1100001000 (
+b1100001000 #
+#2040000
+0!
+0&
+#2045000
+1!
+1&
+b1100001100 (
+b1100001100 #
+#2050000
+0!
+0&
+#2055000
+1!
+1&
+b1100010000 (
+b1100010000 #
+#2060000
+0!
+0&
+#2065000
+1!
+1&
+b1100010100 (
+b1100010100 #
+#2070000
+0!
+0&
+#2075000
+1!
+1&
+b1100011000 (
+b1100011000 #
+#2080000
+0!
+0&
+#2085000
+1!
+1&
+b1100011100 (
+b1100011100 #
+#2090000
+0!
+0&
+#2095000
+1!
+1&
+b1100100000 (
+b1100100000 #
+#2100000
+0!
+0&
+#2105000
+1!
+1&
+b1100100100 (
+b1100100100 #
+#2110000
+0!
+0&
+#2115000
+1!
+1&
+b1100101000 (
+b1100101000 #
+#2120000
+0!
+0&
+#2125000
+1!
+1&
+b1100101100 (
+b1100101100 #
+#2130000
+0!
+0&
+#2135000
+1!
+1&
+b1100110000 (
+b1100110000 #
+#2140000
+0!
+0&
+#2145000
+1!
+1&
+b1100110100 (
+b1100110100 #
+#2150000
+0!
+0&
+#2155000
+1!
+1&
+b1100111000 (
+b1100111000 #
+#2160000
+0!
+0&
+#2165000
+1!
+1&
+b1100111100 (
+b1100111100 #
+#2170000
+0!
+0&
+#2175000
+1!
+1&
+b1101000000 (
+b1101000000 #
+#2180000
+0!
+0&
+#2185000
+1!
+1&
+b1101000100 (
+b1101000100 #
+#2190000
+0!
+0&
+#2195000
+1!
+1&
+b1101001000 (
+b1101001000 #
+#2200000
+0!
+0&
+#2205000
+1!
+1&
+b1101001100 (
+b1101001100 #
+#2210000
+0!
+0&
+#2215000
+1!
+1&
+b1101010000 (
+b1101010000 #
+#2220000
+0!
+0&
+#2225000
+1!
+1&
+b1101010100 (
+b1101010100 #
+#2230000
+0!
+0&
+#2235000
+1!
+1&
+b1101011000 (
+b1101011000 #
+#2240000
+0!
+0&
+#2245000
+1!
+1&
+b1101011100 (
+b1101011100 #
+#2250000
+0!
+0&
+#2255000
+1!
+1&
+b1101100000 (
+b1101100000 #
+#2260000
+0!
+0&
+#2265000
+1!
+1&
+b1101100100 (
+b1101100100 #
+#2270000
+0!
+0&
+#2275000
+1!
+1&
+b1101101000 (
+b1101101000 #
+#2280000
+0!
+0&
+#2285000
+1!
+1&
+b1101101100 (
+b1101101100 #
+#2290000
+0!
+0&
+#2295000
+1!
+1&
+b1101110000 (
+b1101110000 #
+#2300000
+0!
+0&
+#2305000
+1!
+1&
+b1101110100 (
+b1101110100 #
+#2310000
+0!
+0&
+#2315000
+1!
+1&
+b1101111000 (
+b1101111000 #
+#2320000
+0!
+0&
+#2325000
+1!
+1&
+b1101111100 (
+b1101111100 #
+#2330000
+0!
+0&
+#2335000
+1!
+1&
+b1110000000 (
+b1110000000 #
+#2340000
+0!
+0&
+#2345000
+1!
+1&
+b1110000100 (
+b1110000100 #
+#2350000
+0!
+0&
+#2355000
+1!
+1&
+b1110001000 (
+b1110001000 #
+#2360000
+0!
+0&
+#2365000
+1!
+1&
+b1110001100 (
+b1110001100 #
+#2370000
+0!
+0&
+#2375000
+1!
+1&
+b1110010000 (
+b1110010000 #
+#2380000
+0!
+0&
+#2385000
+1!
+1&
+b1110010100 (
+b1110010100 #
+#2390000
+0!
+0&
+#2395000
+1!
+1&
+b1110011000 (
+b1110011000 #
+#2400000
+0!
+0&
+#2405000
+1!
+1&
+b1110011100 (
+b1110011100 #
+#2410000
+0!
+0&
+#2415000
+1!
+1&
+b1110100000 (
+b1110100000 #
+#2420000
+0!
+0&
+#2425000
+1!
+1&
+b1110100100 (
+b1110100100 #
+#2430000
+0!
+0&
+#2435000
+1!
+1&
+b1110101000 (
+b1110101000 #
+#2440000
+0!
+0&
+#2445000
+1!
+1&
+b1110101100 (
+b1110101100 #
+#2450000
+0!
+0&
+#2455000
+1!
+1&
+b1110110000 (
+b1110110000 #
+#2460000
+0!
+0&
+#2465000
+1!
+1&
+b1110110100 (
+b1110110100 #
+#2470000
+0!
+0&
+#2475000
+1!
+1&
+b1110111000 (
+b1110111000 #
+#2480000
+0!
+0&
+#2485000
+1!
+1&
+b1110111100 (
+b1110111100 #
+#2490000
+0!
+0&
+#2495000
+1!
+1&
+b1111000000 (
+b1111000000 #
+#2500000
+0!
+0&
+#2505000
+1!
+1&
+b1111000100 (
+b1111000100 #
+#2510000
+0!
+0&
+#2515000
+1!
+1&
+b1111001000 (
+b1111001000 #
+#2520000
+0!
+0&
+#2525000
+1!
+1&
+b1111001100 (
+b1111001100 #
+#2530000
+0!
+0&
+#2535000
+1!
+1&
+b1111010000 (
+b1111010000 #
+#2540000
+0!
+0&
+#2545000
+1!
+1&
+b1111010100 (
+b1111010100 #
+#2550000
+0!
+0&
+#2555000
+1!
+1&
+b1111011000 (
+b1111011000 #
+#2560000
+0!
+0&
+#2565000
+1!
+1&
+b1111011100 (
+b1111011100 #
+#2570000
+0!
+0&
+#2575000
+1!
+1&
+b1111100000 (
+b1111100000 #
+#2580000
+0!
+0&
+#2585000
+1!
+1&
+b1111100100 (
+b1111100100 #
+#2590000
+0!
+0&
+#2595000
+1!
+1&
+b1111101000 (
+b1111101000 #
+#2600000
+0!
+0&
+#2605000
+1!
+1&
+b1111101100 (
+b1111101100 #
+#2610000
+0!
+0&
+#2615000
+1!
+1&
+b1111110000 (
+b1111110000 #
+#2620000
+0!
+0&
+#2625000
+1!
+1&
+b1111110100 (
+b1111110100 #
+#2630000
+0!
+0&
+#2635000
+1!
+1&
+b1111111000 (
+b1111111000 #
+#2640000
+0!
+0&
+#2645000
+1!
+1&
+b1111111100 (
+b1111111100 #
+#2650000
+0!
+0&
+#2655000
+1!
+1&
+b10000000000 (
+b10000000000 #
+#2660000
+0!
+0&
+#2665000
+1!
+1&
+b10000000100 (
+b10000000100 #
+#2670000
+0!
+0&
+#2675000
+1!
+1&
+b10000001000 (
+b10000001000 #
+#2680000
+0!
+0&
+#2685000
+1!
+1&
+b10000001100 (
+b10000001100 #
+#2690000
+0!
+0&
+#2695000
+1!
+1&
+b10000010000 (
+b10000010000 #
+#2700000
+0!
+0&
+#2705000
+1!
+1&
+b10000010100 (
+b10000010100 #
+#2710000
+0!
+0&
+#2715000
+1!
+1&
+b10000011000 (
+b10000011000 #
+#2720000
+0!
+0&
+#2725000
+1!
+1&
+b10000011100 (
+b10000011100 #
+#2730000
+0!
+0&
+#2735000
+1!
+1&
+b10000100000 (
+b10000100000 #
+#2740000
+0!
+0&
+#2745000
+1!
+1&
+b10000100100 (
+b10000100100 #
+#2750000
+0!
+0&
+#2755000
+1!
+1&
+b10000101000 (
+b10000101000 #
+#2760000
+0!
+0&
+#2765000
+1!
+1&
+b10000101100 (
+b10000101100 #
+#2770000
+0!
+0&
+#2775000
+1!
+1&
+b10000110000 (
+b10000110000 #
+#2780000
+0!
+0&
+#2785000
+1!
+1&
+b10000110100 (
+b10000110100 #
+#2790000
+0!
+0&
+#2795000
+1!
+1&
+b10000111000 (
+b10000111000 #
+#2800000
+0!
+0&
+#2805000
+1!
+1&
+b10000111100 (
+b10000111100 #
+#2810000
+0!
+0&
+#2815000
+1!
+1&
+b10001000000 (
+b10001000000 #
+#2820000
+0!
+0&
+#2825000
+1!
+1&
+b10001000100 (
+b10001000100 #
+#2830000
+0!
+0&
+#2835000
+1!
+1&
+b10001001000 (
+b10001001000 #
+#2840000
+0!
+0&
+#2845000
+1!
+1&
+b10001001100 (
+b10001001100 #
+#2850000
+0!
+0&
+#2855000
+1!
+1&
+b10001010000 (
+b10001010000 #
+#2860000
+0!
+0&
+#2865000
+1!
+1&
+b10001010100 (
+b10001010100 #
+#2870000
+0!
+0&
+#2875000
+1!
+1&
+b10001011000 (
+b10001011000 #
+#2880000
+0!
+0&
+#2885000
+1!
+1&
+b10001011100 (
+b10001011100 #
+#2890000
+0!
+0&
+#2895000
+1!
+1&
+b10001100000 (
+b10001100000 #
+#2900000
+0!
+0&
+#2905000
+1!
+1&
+b10001100100 (
+b10001100100 #
+#2910000
+0!
+0&
+#2915000
+1!
+1&
+b10001101000 (
+b10001101000 #
+#2920000
+0!
+0&
+#2925000
+1!
+1&
+b10001101100 (
+b10001101100 #
+#2930000
+0!
+0&
+#2935000
+1!
+1&
+b10001110000 (
+b10001110000 #
+#2940000
+0!
+0&
+#2945000
+1!
+1&
+b10001110100 (
+b10001110100 #
+#2950000
+0!
+0&
+#2955000
+1!
+1&
+b10001111000 (
+b10001111000 #
+#2960000
+0!
+0&
+#2965000
+1!
+1&
+b10001111100 (
+b10001111100 #
+#2970000
+0!
+0&
+#2975000
+1!
+1&
+b10010000000 (
+b10010000000 #
+#2980000
+0!
+0&
+#2985000
+1!
+1&
+b10010000100 (
+b10010000100 #
+#2990000
+0!
+0&
+#2995000
+1!
+1&
+b10010001000 (
+b10010001000 #
+#3000000
+0!
+0&
+#3005000
+1!
+1&
+b10010001100 (
+b10010001100 #
+#3010000
+0!
+0&
+#3015000
+1!
+1&
+b10010010000 (
+b10010010000 #
+#3020000
+0!
+0&
+#3025000
+1!
+1&
+b10010010100 (
+b10010010100 #
+#3030000
+0!
+0&
+#3035000
+1!
+1&
+b10010011000 (
+b10010011000 #
+#3040000
+0!
+0&
+#3045000
+1!
+1&
+b10010011100 (
+b10010011100 #
+#3050000
+0!
+0&
+#3055000
+1!
+1&
+b10010100000 (
+b10010100000 #
+#3060000
+0!
+0&
+#3065000
+1!
+1&
+b10010100100 (
+b10010100100 #
+#3070000
+0!
+0&
+#3075000
+1!
+1&
+b10010101000 (
+b10010101000 #
+#3080000
+0!
+0&
+#3085000
+1!
+1&
+b10010101100 (
+b10010101100 #
+#3090000
+0!
+0&
+#3095000
+1!
+1&
+b10010110000 (
+b10010110000 #
+#3100000
+0!
+0&
+#3105000
+1!
+1&
+b10010110100 (
+b10010110100 #
+#3110000
+0!
+0&
+#3115000
+1!
+1&
+b10010111000 (
+b10010111000 #
+#3120000
+0!
+0&
+#3125000
+1!
+1&
+b10010111100 (
+b10010111100 #
+#3130000
+0!
+0&
+#3135000
+1!
+1&
+b10011000000 (
+b10011000000 #
+#3140000
+0!
+0&
+#3145000
+1!
+1&
+b10011000100 (
+b10011000100 #
+#3150000
+0!
+0&
+#3155000
+1!
+1&
+b10011001000 (
+b10011001000 #
+#3160000
+0!
+0&
+#3165000
+1!
+1&
+b10011001100 (
+b10011001100 #
+#3170000
+0!
+0&
+#3175000
+1!
+1&
+b10011010000 (
+b10011010000 #
+#3180000
+0!
+0&
+#3185000
+1!
+1&
+b10011010100 (
+b10011010100 #
+#3190000
+0!
+0&
+#3195000
+1!
+1&
+b10011011000 (
+b10011011000 #
+#3200000
+0!
+0&
+#3205000
+1!
+1&
+b10011011100 (
+b10011011100 #
+#3210000
+0!
+0&
+#3215000
+1!
+1&
+b10011100000 (
+b10011100000 #
+#3220000
+0!
+0&
+#3225000
+1!
+1&
+b10011100100 (
+b10011100100 #
+#3230000
+0!
+0&
+#3235000
+1!
+1&
+b10011101000 (
+b10011101000 #
+#3240000
+0!
+0&
+#3245000
+1!
+1&
+b10011101100 (
+b10011101100 #
+#3250000
+0!
+0&
+#3255000
+1!
+1&
+b10011110000 (
+b10011110000 #
+#3260000
+0!
+0&
+#3265000
+1!
+1&
+b10011110100 (
+b10011110100 #
+#3270000
+0!
+0&
+#3275000
+1!
+1&
+b10011111000 (
+b10011111000 #
+#3280000
+0!
+0&
+#3285000
+1!
+1&
+b10011111100 (
+b10011111100 #
+#3290000
+0!
+0&
+#3295000
+1!
+1&
+b10100000000 (
+b10100000000 #
+#3300000
+0!
+0&
+#3305000
+1!
+1&
+b10100000100 (
+b10100000100 #
+#3310000
+0!
+0&
+#3315000
+1!
+1&
+b10100001000 (
+b10100001000 #
+#3320000
+0!
+0&
+#3325000
+1!
+1&
+b10100001100 (
+b10100001100 #
+#3330000
+0!
+0&
+#3335000
+1!
+1&
+b10100010000 (
+b10100010000 #
+#3340000
+0!
+0&
+#3345000
+1!
+1&
+b10100010100 (
+b10100010100 #
+#3350000
+0!
+0&
+#3355000
+1!
+1&
+b10100011000 (
+b10100011000 #
+#3360000
+0!
+0&
+#3365000
+1!
+1&
+b10100011100 (
+b10100011100 #
+#3370000
+0!
+0&
+#3375000
+1!
+1&
+b10100100000 (
+b10100100000 #
+#3380000
+0!
+0&
+#3385000
+1!
+1&
+b10100100100 (
+b10100100100 #
+#3390000
+0!
+0&
+#3395000
+1!
+1&
+b10100101000 (
+b10100101000 #
+#3400000
+0!
+0&
+#3405000
+1!
+1&
+b10100101100 (
+b10100101100 #
+#3410000
+0!
+0&
+#3415000
+1!
+1&
+b10100110000 (
+b10100110000 #
+#3420000
+0!
+0&
+#3425000
+1!
+1&
+b10100110100 (
+b10100110100 #
+#3430000
+0!
+0&
+#3435000
+1!
+1&
+b10100111000 (
+b10100111000 #
+#3440000
+0!
+0&
+#3445000
+1!
+1&
+b10100111100 (
+b10100111100 #
+#3450000
+0!
+0&
+#3455000
+1!
+1&
+b10101000000 (
+b10101000000 #
+#3460000
+0!
+0&
+#3465000
+1!
+1&
+b10101000100 (
+b10101000100 #
+#3470000
+0!
+0&
+#3475000
+1!
+1&
+b10101001000 (
+b10101001000 #
+#3480000
+0!
+0&
+#3485000
+1!
+1&
+b10101001100 (
+b10101001100 #
+#3490000
+0!
+0&
+#3495000
+1!
+1&
+b10101010000 (
+b10101010000 #
+#3500000
+0!
+0&
+#3505000
+1!
+1&
+b10101010100 (
+b10101010100 #
+#3510000
+0!
+0&
+#3515000
+1!
+1&
+b10101011000 (
+b10101011000 #
+#3520000
+0!
+0&
+#3525000
+1!
+1&
+b10101011100 (
+b10101011100 #
+#3530000
+0!
+0&
+#3535000
+1!
+1&
+b10101100000 (
+b10101100000 #
+#3540000
+0!
+0&
+#3545000
+1!
+1&
+b10101100100 (
+b10101100100 #
+#3550000
+0!
+0&
+#3555000
+1!
+1&
+b10101101000 (
+b10101101000 #
+#3560000
+0!
+0&
+#3565000
+1!
+1&
+b10101101100 (
+b10101101100 #
+#3570000
+0!
+0&
+#3575000
+1!
+1&
+b10101110000 (
+b10101110000 #
+#3580000
+0!
+0&
+#3585000
+1!
+1&
+b10101110100 (
+b10101110100 #
+#3590000
+0!
+0&
+#3595000
+1!
+1&
+b10101111000 (
+b10101111000 #
+#3600000
+0!
+0&
+#3605000
+1!
+1&
+b10101111100 (
+b10101111100 #
+#3610000
+0!
+0&
+#3615000
+1!
+1&
+b10110000000 (
+b10110000000 #
+#3620000
+0!
+0&
+#3625000
+1!
+1&
+b10110000100 (
+b10110000100 #
+#3630000
+0!
+0&
+#3635000
+1!
+1&
+b10110001000 (
+b10110001000 #
+#3640000
+0!
+0&
+#3645000
+1!
+1&
+b10110001100 (
+b10110001100 #
+#3650000
+0!
+0&
+#3655000
+1!
+1&
+b10110010000 (
+b10110010000 #
+#3660000
+0!
+0&
+#3665000
+1!
+1&
+b10110010100 (
+b10110010100 #
+#3670000
+0!
+0&
+#3675000
+1!
+1&
+b10110011000 (
+b10110011000 #
+#3680000
+0!
+0&
+#3685000
+1!
+1&
+b10110011100 (
+b10110011100 #
+#3690000
+0!
+0&
+#3695000
+1!
+1&
+b10110100000 (
+b10110100000 #
+#3700000
+0!
+0&
+#3705000
+1!
+1&
+b10110100100 (
+b10110100100 #
+#3710000
+0!
+0&
+#3715000
+1!
+1&
+b10110101000 (
+b10110101000 #
+#3720000
+0!
+0&
+#3725000
+1!
+1&
+b10110101100 (
+b10110101100 #
+#3730000
+0!
+0&
+#3735000
+1!
+1&
+b10110110000 (
+b10110110000 #
+#3740000
+0!
+0&
+#3745000
+1!
+1&
+b10110110100 (
+b10110110100 #
+#3750000
+0!
+0&
+#3755000
+1!
+1&
+b10110111000 (
+b10110111000 #
+#3760000
+0!
+0&
+#3765000
+1!
+1&
+b10110111100 (
+b10110111100 #
+#3770000
+0!
+0&
+#3775000
+1!
+1&
+b10111000000 (
+b10111000000 #
+#3780000
+0!
+0&
+#3785000
+1!
+1&
+b10111000100 (
+b10111000100 #
+#3790000
+0!
+0&
+#3795000
+1!
+1&
+b10111001000 (
+b10111001000 #
+#3800000
+0!
+0&
+#3805000
+1!
+1&
+b10111001100 (
+b10111001100 #
+#3810000
+0!
+0&
+#3815000
+1!
+1&
+b10111010000 (
+b10111010000 #
+#3820000
+0!
+0&
+#3825000
+1!
+1&
+b10111010100 (
+b10111010100 #
+#3830000
+0!
+0&
+#3835000
+1!
+1&
+b10111011000 (
+b10111011000 #
+#3840000
+0!
+0&
+#3845000
+1!
+1&
+b10111011100 (
+b10111011100 #
+#3850000
+0!
+0&
+#3855000
+1!
+1&
+b10111100000 (
+b10111100000 #
+#3860000
+0!
+0&
+#3865000
+1!
+1&
+b10111100100 (
+b10111100100 #
+#3870000
+0!
+0&
+#3875000
+1!
+1&
+b10111101000 (
+b10111101000 #
+#3880000
+0!
+0&
+#3885000
+1!
+1&
+b10111101100 (
+b10111101100 #
+#3890000
+0!
+0&
+#3895000
+1!
+1&
+b10111110000 (
+b10111110000 #
+#3900000
+0!
+0&
+#3905000
+1!
+1&
+b10111110100 (
+b10111110100 #
+#3910000
+0!
+0&
+#3915000
+1!
+1&
+b10111111000 (
+b10111111000 #
+#3920000
+0!
+0&
+#3925000
+1!
+1&
+b10111111100 (
+b10111111100 #
+#3930000
+0!
+0&
+#3935000
+1!
+1&
+b11000000000 (
+b11000000000 #
+#3940000
+0!
+0&
+#3945000
+1!
+1&
+b11000000100 (
+b11000000100 #
+#3950000
+0!
+0&
+#3955000
+1!
+1&
+b11000001000 (
+b11000001000 #
+#3960000
+0!
+0&
+#3965000
+1!
+1&
+b11000001100 (
+b11000001100 #
+#3970000
+0!
+0&
+#3975000
+1!
+1&
+b11000010000 (
+b11000010000 #
+#3980000
+0!
+0&
+#3985000
+1!
+1&
+b11000010100 (
+b11000010100 #
+#3990000
+0!
+0&
+#3995000
+1!
+1&
+b11000011000 (
+b11000011000 #
+#4000000
+0!
+0&
+#4005000
+1!
+1&
+b11000011100 (
+b11000011100 #
+#4010000
+0!
+0&
+#4015000
+1!
+1&
+b11000100000 (
+b11000100000 #
+#4020000
+0!
+0&
+#4025000
+1!
+1&
+b11000100100 (
+b11000100100 #
+#4030000
+0!
+0&
+#4035000
+1!
+1&
+b11000101000 (
+b11000101000 #
+#4040000
+0!
+0&
+#4045000
+1!
+1&
+b11000101100 (
+b11000101100 #
+#4050000
+0!
+0&
+#4055000
+1!
+1&
+b11000110000 (
+b11000110000 #
+#4060000
+0!
+0&
+#4065000
+1!
+1&
+b11000110100 (
+b11000110100 #
+#4070000
+0!
+0&
+#4075000
+1!
+1&
+b11000111000 (
+b11000111000 #
+#4080000
+0!
+0&
+#4085000
+1!
+1&
+b11000111100 (
+b11000111100 #
+#4090000
+0!
+0&
+#4095000
+1!
+1&
+b11001000000 (
+b11001000000 #
+#4100000
+0!
+0&
+#4105000
+1!
+1&
+b11001000100 (
+b11001000100 #
+#4110000
+0!
+0&
+#4115000
+1!
+1&
+b11001001000 (
+b11001001000 #
+#4120000
+0!
+0&
+#4125000
+1!
+1&
+b11001001100 (
+b11001001100 #
+#4130000
+0!
+0&
+#4135000
+1!
+1&
+b11001010000 (
+b11001010000 #
+#4140000
+0!
+0&
+#4145000
+1!
+1&
+b11001010100 (
+b11001010100 #
+#4150000
+0!
+0&
+#4155000
+1!
+1&
+b11001011000 (
+b11001011000 #
+#4160000
+0!
+0&
+#4165000
+1!
+1&
+b11001011100 (
+b11001011100 #
+#4170000
+0!
+0&
+#4175000
+1!
+1&
+b11001100000 (
+b11001100000 #
+#4180000
+0!
+0&
+#4185000
+1!
+1&
+b11001100100 (
+b11001100100 #
+#4190000
+0!
+0&
+#4195000
+1!
+1&
+b11001101000 (
+b11001101000 #
+#4200000
+0!
+0&
+#4205000
+1!
+1&
+b11001101100 (
+b11001101100 #
+#4210000
+0!
+0&
+#4215000
+1!
+1&
+b11001110000 (
+b11001110000 #
+#4220000
+0!
+0&
+#4225000
+1!
+1&
+b11001110100 (
+b11001110100 #
+#4230000
+0!
+0&
+#4235000
+1!
+1&
+b11001111000 (
+b11001111000 #
+#4240000
+0!
+0&
+#4245000
+1!
+1&
+b11001111100 (
+b11001111100 #
+#4250000
+0!
+0&
+#4255000
+1!
+1&
+b11010000000 (
+b11010000000 #
+#4260000
+0!
+0&
+#4265000
+1!
+1&
+b11010000100 (
+b11010000100 #
+#4270000
+0!
+0&
+#4275000
+1!
+1&
+b11010001000 (
+b11010001000 #
+#4280000
+0!
+0&
+#4285000
+1!
+1&
+b11010001100 (
+b11010001100 #
+#4290000
+0!
+0&
+#4295000
+1!
+1&
+b11010010000 (
+b11010010000 #
+#4300000
+0!
+0&
+#4305000
+1!
+1&
+b11010010100 (
+b11010010100 #
+#4310000
+0!
+0&
+#4315000
+1!
+1&
+b11010011000 (
+b11010011000 #
+#4320000
+0!
+0&
+#4325000
+1!
+1&
+b11010011100 (
+b11010011100 #
+#4330000
+0!
+0&
+#4335000
+1!
+1&
+b11010100000 (
+b11010100000 #
+#4340000
+0!
+0&
+#4345000
+1!
+1&
+b11010100100 (
+b11010100100 #
+#4350000
+0!
+0&
+#4355000
+1!
+1&
+b11010101000 (
+b11010101000 #
+#4360000
+0!
+0&
+#4365000
+1!
+1&
+b11010101100 (
+b11010101100 #
+#4370000
+0!
+0&
+#4375000
+1!
+1&
+b11010110000 (
+b11010110000 #
+#4380000
+0!
+0&
+#4385000
+1!
+1&
+b11010110100 (
+b11010110100 #
+#4390000
+0!
+0&
+#4395000
+1!
+1&
+b11010111000 (
+b11010111000 #
+#4400000
+0!
+0&
+#4405000
+1!
+1&
+b11010111100 (
+b11010111100 #
+#4410000
+0!
+0&
+#4415000
+1!
+1&
+b11011000000 (
+b11011000000 #
+#4420000
+0!
+0&
+#4425000
+1!
+1&
+b11011000100 (
+b11011000100 #
+#4430000
+0!
+0&
+#4435000
+1!
+1&
+b11011001000 (
+b11011001000 #
+#4440000
+0!
+0&
+#4445000
+1!
+1&
+b11011001100 (
+b11011001100 #
+#4450000
+0!
+0&
+#4455000
+1!
+1&
+b11011010000 (
+b11011010000 #
+#4460000
+0!
+0&
+#4465000
+1!
+1&
+b11011010100 (
+b11011010100 #
+#4470000
+0!
+0&
+#4475000
+1!
+1&
+b11011011000 (
+b11011011000 #
+#4480000
+0!
+0&
+#4485000
+1!
+1&
+b11011011100 (
+b11011011100 #
+#4490000
+0!
+0&
+#4495000
+1!
+1&
+b11011100000 (
+b11011100000 #
+#4500000
+0!
+0&
+#4505000
+1!
+1&
+b11011100100 (
+b11011100100 #
+#4510000
+0!
+0&
+#4515000
+1!
+1&
+b11011101000 (
+b11011101000 #
+#4520000
+0!
+0&
+#4525000
+1!
+1&
+b11011101100 (
+b11011101100 #
+#4530000
+0!
+0&
+#4535000
+1!
+1&
+b11011110000 (
+b11011110000 #
+#4540000
+0!
+0&
+#4545000
+1!
+1&
+b11011110100 (
+b11011110100 #
+#4550000
+0!
+0&
+#4555000
+1!
+1&
+b11011111000 (
+b11011111000 #
+#4560000
+0!
+0&
+#4565000
+1!
+1&
+b11011111100 (
+b11011111100 #
+#4570000
+0!
+0&
+#4575000
+1!
+1&
+b11100000000 (
+b11100000000 #
+#4580000
+0!
+0&
+#4585000
+1!
+1&
+b11100000100 (
+b11100000100 #
+#4590000
+0!
+0&
+#4595000
+1!
+1&
+b11100001000 (
+b11100001000 #
+#4600000
+0!
+0&
+#4605000
+1!
+1&
+b11100001100 (
+b11100001100 #
+#4610000
+0!
+0&
+#4615000
+1!
+1&
+b11100010000 (
+b11100010000 #
+#4620000
+0!
+0&
+#4625000
+1!
+1&
+b11100010100 (
+b11100010100 #
+#4630000
+0!
+0&
+#4635000
+1!
+1&
+b11100011000 (
+b11100011000 #
+#4640000
+0!
+0&
+#4645000
+1!
+1&
+b11100011100 (
+b11100011100 #
+#4650000
+0!
+0&
+#4655000
+1!
+1&
+b11100100000 (
+b11100100000 #
+#4660000
+0!
+0&
+#4665000
+1!
+1&
+b11100100100 (
+b11100100100 #
+#4670000
+0!
+0&
+#4675000
+1!
+1&
+b11100101000 (
+b11100101000 #
+#4680000
+0!
+0&
+#4685000
+1!
+1&
+b11100101100 (
+b11100101100 #
+#4690000
+0!
+0&
+#4695000
+1!
+1&
+b11100110000 (
+b11100110000 #
+#4700000
+0!
+0&
+#4705000
+1!
+1&
+b11100110100 (
+b11100110100 #
+#4710000
+0!
+0&
+#4715000
+1!
+1&
+b11100111000 (
+b11100111000 #
+#4720000
+0!
+0&
+#4725000
+1!
+1&
+b11100111100 (
+b11100111100 #
+#4730000
+0!
+0&
+#4735000
+1!
+1&
+b11101000000 (
+b11101000000 #
+#4740000
+0!
+0&
+#4745000
+1!
+1&
+b11101000100 (
+b11101000100 #
+#4750000
+0!
+0&
+#4755000
+1!
+1&
+b11101001000 (
+b11101001000 #
+#4760000
+0!
+0&
+#4765000
+1!
+1&
+b11101001100 (
+b11101001100 #
+#4770000
+0!
+0&
+#4775000
+1!
+1&
+b11101010000 (
+b11101010000 #
+#4780000
+0!
+0&
+#4785000
+1!
+1&
+b11101010100 (
+b11101010100 #
+#4790000
+0!
+0&
+#4795000
+1!
+1&
+b11101011000 (
+b11101011000 #
+#4800000
+0!
+0&
+#4805000
+1!
+1&
+b11101011100 (
+b11101011100 #
+#4810000
+0!
+0&
+#4815000
+1!
+1&
+b11101100000 (
+b11101100000 #
+#4820000
+0!
+0&
+#4825000
+1!
+1&
+b11101100100 (
+b11101100100 #
+#4830000
+0!
+0&
+#4835000
+1!
+1&
+b11101101000 (
+b11101101000 #
+#4840000
+0!
+0&
+#4845000
+1!
+1&
+b11101101100 (
+b11101101100 #
+#4850000
+0!
+0&
+#4855000
+1!
+1&
+b11101110000 (
+b11101110000 #
+#4860000
+0!
+0&
+#4865000
+1!
+1&
+b11101110100 (
+b11101110100 #
+#4870000
+0!
+0&
+#4875000
+1!
+1&
+b11101111000 (
+b11101111000 #
+#4880000
+0!
+0&
+#4885000
+1!
+1&
+b11101111100 (
+b11101111100 #
+#4890000
+0!
+0&
+#4895000
+1!
+1&
+b11110000000 (
+b11110000000 #
+#4900000
+0!
+0&
+#4905000
+1!
+1&
+b11110000100 (
+b11110000100 #
+#4910000
+0!
+0&
+#4915000
+1!
+1&
+b11110001000 (
+b11110001000 #
+#4920000
+0!
+0&
+#4925000
+1!
+1&
+b11110001100 (
+b11110001100 #
+#4930000
+0!
+0&
+#4935000
+1!
+1&
+b11110010000 (
+b11110010000 #
+#4940000
+0!
+0&
+#4945000
+1!
+1&
+b11110010100 (
+b11110010100 #
+#4950000
+0!
+0&
+#4955000
+1!
+1&
+b11110011000 (
+b11110011000 #
+#4960000
+0!
+0&
+#4965000
+1!
+1&
+b11110011100 (
+b11110011100 #
+#4970000
+0!
+0&
+#4975000
+1!
+1&
+b11110100000 (
+b11110100000 #
+#4980000
+0!
+0&
+#4985000
+1!
+1&
+b11110100100 (
+b11110100100 #
+#4990000
+0!
+0&
+#4995000
+1!
+1&
+b11110101000 (
+b11110101000 #
+#5000000
+0!
+0&
+#5005000
+1!
+1&
+b11110101100 (
+b11110101100 #
+#5010000
+0!
+0&
+#5015000
+1!
+1&
+b11110110000 (
+b11110110000 #
+#5020000
+0!
+0&
+#5025000
+1!
+1&
+b11110110100 (
+b11110110100 #
+#5030000
+0!
+0&
+#5035000
+1!
+1&
+b11110111000 (
+b11110111000 #
+#5040000
+0!
+0&
+#5045000
+1!
+1&
+b11110111100 (
+b11110111100 #
+#5050000
+0!
+0&
+#5055000
+1!
+1&
+b11111000000 (
+b11111000000 #
+#5060000
+0!
+0&
+#5065000
+1!
+1&
+b11111000100 (
+b11111000100 #
+#5070000
+0!
+0&
+#5075000
+1!
+1&
+b11111001000 (
+b11111001000 #
+#5080000
+0!
+0&
+#5085000
+1!
+1&
+b11111001100 (
+b11111001100 #
+#5090000
+0!
+0&
+#5095000
+1!
+1&
+b11111010000 (
+b11111010000 #
+#5100000
+0!
+0&
+#5105000
+1!
+1&
+b11111010100 (
+b11111010100 #
+#5110000
+0!
+0&
+#5115000
+1!
+1&
+b11111011000 (
+b11111011000 #
+#5120000
+0!
+0&
+#5125000
+1!
+1&
+b11111011100 (
+b11111011100 #
+#5130000
+0!
+0&
+#5135000
+1!
+1&
+b11111100000 (
+b11111100000 #
+#5140000
+0!
+0&
+#5145000
+1!
+1&
+b11111100100 (
+b11111100100 #
+#5150000
+0!
+0&
+#5155000
+1!
+1&
+b11111101000 (
+b11111101000 #
+#5160000
+0!
+0&
+#5165000
+1!
+1&
+b11111101100 (
+b11111101100 #
+#5170000
+0!
+0&
+#5175000
+1!
+1&
+b11111110000 (
+b11111110000 #
+#5180000
+0!
+0&
+#5185000
+1!
+1&
+b11111110100 (
+b11111110100 #
+#5190000
+0!
+0&
+#5195000
+1!
+1&
+b11111111000 (
+b11111111000 #
+#5200000
+0!
+0&
+#5205000
+1!
+1&
+b11111111100 (
+b11111111100 #
+#5210000
+0!
+0&
+#5215000
+1!
+1&
+b100000000000 (
+b100000000000 #
+#5220000
+0!
+0&
+#5225000
+1!
+1&
+b100000000100 (
+b100000000100 #
+#5230000
+0!
+0&
+#5235000
+1!
+1&
+b100000001000 (
+b100000001000 #
+#5240000
+0!
+0&
+#5245000
+1!
+1&
+b100000001100 (
+b100000001100 #
+#5250000
+0!
+0&
+#5255000
+1!
+1&
+b100000010000 (
+b100000010000 #
+#5260000
+0!
+0&
+#5265000
+1!
+1&
+b100000010100 (
+b100000010100 #
+#5270000
+0!
+0&
+#5275000
+1!
+1&
+b100000011000 (
+b100000011000 #
+#5280000
+0!
+0&
+#5285000
+1!
+1&
+b100000011100 (
+b100000011100 #
+#5290000
+0!
+0&
+#5295000
+1!
+1&
+b100000100000 (
+b100000100000 #
+#5300000
+0!
+0&
+#5305000
+1!
+1&
+b100000100100 (
+b100000100100 #
+#5310000
+0!
+0&
+#5315000
+1!
+1&
+b100000101000 (
+b100000101000 #
+#5320000
+0!
+0&
+#5325000
+1!
+1&
+b100000101100 (
+b100000101100 #
+#5330000
+0!
+0&
+#5335000
+1!
+1&
+b100000110000 (
+b100000110000 #
+#5340000
+0!
+0&
+#5345000
+1!
+1&
+b100000110100 (
+b100000110100 #
+#5350000
+0!
+0&
+#5355000
+1!
+1&
+b100000111000 (
+b100000111000 #
+#5360000
+0!
+0&
+#5365000
+1!
+1&
+b100000111100 (
+b100000111100 #
+#5370000
+0!
+0&
+#5375000
+1!
+1&
+b100001000000 (
+b100001000000 #
+#5380000
+0!
+0&
+#5385000
+1!
+1&
+b100001000100 (
+b100001000100 #
+#5390000
+0!
+0&
+#5395000
+1!
+1&
+b100001001000 (
+b100001001000 #
+#5400000
+0!
+0&
+#5405000
+1!
+1&
+b100001001100 (
+b100001001100 #
+#5410000
+0!
+0&
+#5415000
+1!
+1&
+b100001010000 (
+b100001010000 #
+#5420000
+0!
+0&
+#5425000
+1!
+1&
+b100001010100 (
+b100001010100 #
+#5430000
+0!
+0&
+#5435000
+1!
+1&
+b100001011000 (
+b100001011000 #
+#5440000
+0!
+0&
+#5445000
+1!
+1&
+b100001011100 (
+b100001011100 #
+#5450000
+0!
+0&
+#5455000
+1!
+1&
+b100001100000 (
+b100001100000 #
+#5460000
+0!
+0&
+#5465000
+1!
+1&
+b100001100100 (
+b100001100100 #
+#5470000
+0!
+0&
+#5475000
+1!
+1&
+b100001101000 (
+b100001101000 #
+#5480000
+0!
+0&
+#5485000
+1!
+1&
+b100001101100 (
+b100001101100 #
+#5490000
+0!
+0&
+#5495000
+1!
+1&
+b100001110000 (
+b100001110000 #
+#5500000
+0!
+0&
+#5505000
+1!
+1&
+b100001110100 (
+b100001110100 #
+#5510000
+0!
+0&
+#5515000
+1!
+1&
+b100001111000 (
+b100001111000 #
+#5520000
+0!
+0&
+#5525000
+1!
+1&
+b100001111100 (
+b100001111100 #
+#5530000
+0!
+0&
+#5535000
+1!
+1&
+b100010000000 (
+b100010000000 #
+#5540000
+0!
+0&
+#5545000
+1!
+1&
+b100010000100 (
+b100010000100 #
+#5550000
+0!
+0&
+#5555000
+1!
+1&
+b100010001000 (
+b100010001000 #
+#5560000
+0!
+0&
+#5565000
+1!
+1&
+b100010001100 (
+b100010001100 #
+#5570000
+0!
+0&
+#5575000
+1!
+1&
+b100010010000 (
+b100010010000 #
+#5580000
+0!
+0&
+#5585000
+1!
+1&
+b100010010100 (
+b100010010100 #
+#5590000
+0!
+0&
+#5595000
+1!
+1&
+b100010011000 (
+b100010011000 #
+#5600000
+0!
+0&
+#5605000
+1!
+1&
+b100010011100 (
+b100010011100 #
+#5610000
+0!
+0&
+#5615000
+1!
+1&
+b100010100000 (
+b100010100000 #
+#5620000
+0!
+0&
+#5625000
+1!
+1&
+b100010100100 (
+b100010100100 #
+#5630000
+0!
+0&
+#5635000
+1!
+1&
+b100010101000 (
+b100010101000 #
+#5640000
+0!
+0&
+#5645000
+1!
+1&
+b100010101100 (
+b100010101100 #
+#5650000
+0!
+0&
+#5655000
+1!
+1&
+b100010110000 (
+b100010110000 #
+#5660000
+0!
+0&
+#5665000
+1!
+1&
+b100010110100 (
+b100010110100 #
+#5670000
+0!
+0&
+#5675000
+1!
+1&
+b100010111000 (
+b100010111000 #
+#5680000
+0!
+0&
+#5685000
+1!
+1&
+b100010111100 (
+b100010111100 #
+#5690000
+0!
+0&
+#5695000
+1!
+1&
+b100011000000 (
+b100011000000 #
+#5700000
+0!
+0&
+#5705000
+1!
+1&
+b100011000100 (
+b100011000100 #
+#5710000
+0!
+0&
+#5715000
+1!
+1&
+b100011001000 (
+b100011001000 #
+#5720000
+0!
+0&
+#5725000
+1!
+1&
+b100011001100 (
+b100011001100 #
+#5730000
+0!
+0&
+#5735000
+1!
+1&
+b100011010000 (
+b100011010000 #
+#5740000
+0!
+0&
+#5745000
+1!
+1&
+b100011010100 (
+b100011010100 #
+#5750000
+0!
+0&
+#5755000
+1!
+1&
+b100011011000 (
+b100011011000 #
+#5760000
+0!
+0&
+#5765000
+1!
+1&
+b100011011100 (
+b100011011100 #
+#5770000
+0!
+0&
+#5775000
+1!
+1&
+b100011100000 (
+b100011100000 #
+#5780000
+0!
+0&
+#5785000
+1!
+1&
+b100011100100 (
+b100011100100 #
+#5790000
+0!
+0&
+#5795000
+1!
+1&
+b100011101000 (
+b100011101000 #
+#5800000
+0!
+0&
+#5805000
+1!
+1&
+b100011101100 (
+b100011101100 #
+#5810000
+0!
+0&
+#5815000
+1!
+1&
+b100011110000 (
+b100011110000 #
+#5820000
+0!
+0&
+#5825000
+1!
+1&
+b100011110100 (
+b100011110100 #
+#5830000
+0!
+0&
+#5835000
+1!
+1&
+b100011111000 (
+b100011111000 #
+#5840000
+0!
+0&
+#5845000
+1!
+1&
+b100011111100 (
+b100011111100 #
+#5850000
+0!
+0&
+#5855000
+1!
+1&
+b100100000000 (
+b100100000000 #
+#5860000
+0!
+0&
+#5865000
+1!
+1&
+b100100000100 (
+b100100000100 #
+#5870000
+0!
+0&
+#5875000
+1!
+1&
+b100100001000 (
+b100100001000 #
+#5880000
+0!
+0&
+#5885000
+1!
+1&
+b100100001100 (
+b100100001100 #
+#5890000
+0!
+0&
+#5895000
+1!
+1&
+b100100010000 (
+b100100010000 #
+#5900000
+0!
+0&
+#5905000
+1!
+1&
+b100100010100 (
+b100100010100 #
+#5910000
+0!
+0&
+#5915000
+1!
+1&
+b100100011000 (
+b100100011000 #
+#5920000
+0!
+0&
+#5925000
+1!
+1&
+b100100011100 (
+b100100011100 #
+#5930000
+0!
+0&
+#5935000
+1!
+1&
+b100100100000 (
+b100100100000 #
+#5940000
+0!
+0&
+#5945000
+1!
+1&
+b100100100100 (
+b100100100100 #
+#5950000
+0!
+0&
+#5955000
+1!
+1&
+b100100101000 (
+b100100101000 #
+#5960000
+0!
+0&
+#5965000
+1!
+1&
+b100100101100 (
+b100100101100 #
+#5970000
+0!
+0&
+#5975000
+1!
+1&
+b100100110000 (
+b100100110000 #
+#5980000
+0!
+0&
+#5985000
+1!
+1&
+b100100110100 (
+b100100110100 #
+#5990000
+0!
+0&
+#5995000
+1!
+1&
+b100100111000 (
+b100100111000 #
+#6000000
+0!
+0&
+#6005000
+1!
+1&
+b100100111100 (
+b100100111100 #
+#6010000
+0!
+0&
+#6015000
+1!
+1&
+b100101000000 (
+b100101000000 #
+#6020000
+0!
+0&
+#6025000
+1!
+1&
+b100101000100 (
+b100101000100 #
+#6030000
+0!
+0&
+#6035000
+1!
+1&
+b100101001000 (
+b100101001000 #
+#6040000
+0!
+0&
+#6045000
+1!
+1&
+b100101001100 (
+b100101001100 #
+#6050000
+0!
+0&
+#6055000
+1!
+1&
+b100101010000 (
+b100101010000 #
+#6060000
+0!
+0&
+#6065000
+1!
+1&
+b100101010100 (
+b100101010100 #
+#6070000
+0!
+0&
+#6075000
+1!
+1&
+b100101011000 (
+b100101011000 #
+#6080000
+0!
+0&
+#6085000
+1!
+1&
+b100101011100 (
+b100101011100 #
+#6090000
+0!
+0&
+#6095000
+1!
+1&
+b100101100000 (
+b100101100000 #
+#6100000
+0!
+0&
+#6105000
+1!
+1&
+b100101100100 (
+b100101100100 #
+#6110000
+0!
+0&
+#6115000
+1!
+1&
+b100101101000 (
+b100101101000 #
+#6120000
+0!
+0&
+#6125000
+1!
+1&
+b100101101100 (
+b100101101100 #
+#6130000
+0!
+0&
+#6135000
+1!
+1&
+b100101110000 (
+b100101110000 #
+#6140000
+0!
+0&
+#6145000
+1!
+1&
+b100101110100 (
+b100101110100 #
+#6150000
+0!
+0&
+#6155000
+1!
+1&
+b100101111000 (
+b100101111000 #
+#6160000
+0!
+0&
+#6165000
+1!
+1&
+b100101111100 (
+b100101111100 #
+#6170000
+0!
+0&
+#6175000
+1!
+1&
+b100110000000 (
+b100110000000 #
+#6180000
+0!
+0&
+#6185000
+1!
+1&
+b100110000100 (
+b100110000100 #
+#6190000
+0!
+0&
+#6195000
+1!
+1&
+b100110001000 (
+b100110001000 #
+#6200000
+0!
+0&
+#6205000
+1!
+1&
+b100110001100 (
+b100110001100 #
+#6210000
+0!
+0&
+#6215000
+1!
+1&
+b100110010000 (
+b100110010000 #
+#6220000
+0!
+0&
+#6225000
+1!
+1&
+b100110010100 (
+b100110010100 #
+#6230000
+0!
+0&
+#6235000
+1!
+1&
+b100110011000 (
+b100110011000 #
+#6240000
+0!
+0&
+#6245000
+1!
+1&
+b100110011100 (
+b100110011100 #
+#6250000
+0!
+0&
+#6255000
+1!
+1&
+b100110100000 (
+b100110100000 #
+#6260000
+0!
+0&
+#6265000
+1!
+1&
+b100110100100 (
+b100110100100 #
+#6270000
+0!
+0&
+#6275000
+1!
+1&
+b100110101000 (
+b100110101000 #
+#6280000
+0!
+0&
+#6285000
+1!
+1&
+b100110101100 (
+b100110101100 #
+#6290000
+0!
+0&
+#6295000
+1!
+1&
+b100110110000 (
+b100110110000 #
+#6300000
+0!
+0&
+#6305000
+1!
+1&
+b100110110100 (
+b100110110100 #
+#6310000
+0!
+0&
+#6315000
+1!
+1&
+b100110111000 (
+b100110111000 #
+#6320000
+0!
+0&
+#6325000
+1!
+1&
+b100110111100 (
+b100110111100 #
+#6330000
+0!
+0&
+#6335000
+1!
+1&
+b100111000000 (
+b100111000000 #
+#6340000
+0!
+0&
+#6345000
+1!
+1&
+b100111000100 (
+b100111000100 #
+#6350000
+0!
+0&
+#6355000
+1!
+1&
+b100111001000 (
+b100111001000 #
+#6360000
+0!
+0&
+#6365000
+1!
+1&
+b100111001100 (
+b100111001100 #
+#6370000
+0!
+0&
+#6375000
+1!
+1&
+b100111010000 (
+b100111010000 #
+#6380000
+0!
+0&
+#6385000
+1!
+1&
+b100111010100 (
+b100111010100 #
+#6390000
+0!
+0&
+#6395000
+1!
+1&
+b100111011000 (
+b100111011000 #
+#6400000
+0!
+0&
+#6405000
+1!
+1&
+b100111011100 (
+b100111011100 #
+#6410000
+0!
+0&
+#6415000
+1!
+1&
+b100111100000 (
+b100111100000 #
+#6420000
+0!
+0&
+#6425000
+1!
+1&
+b100111100100 (
+b100111100100 #
+#6430000
+0!
+0&
+#6435000
+1!
+1&
+b100111101000 (
+b100111101000 #
+#6440000
+0!
+0&
+#6445000
+1!
+1&
+b100111101100 (
+b100111101100 #
+#6450000
+0!
+0&
+#6455000
+1!
+1&
+b100111110000 (
+b100111110000 #
+#6460000
+0!
+0&
+#6465000
+1!
+1&
+b100111110100 (
+b100111110100 #
+#6470000
+0!
+0&
+#6475000
+1!
+1&
+b100111111000 (
+b100111111000 #
+#6480000
+0!
+0&
+#6485000
+1!
+1&
+b100111111100 (
+b100111111100 #
+#6490000
+0!
+0&
+#6495000
+1!
+1&
+b101000000000 (
+b101000000000 #
+#6500000
+0!
+0&
+#6505000
+1!
+1&
+b101000000100 (
+b101000000100 #
+#6510000
+0!
+0&
+#6515000
+1!
+1&
+b101000001000 (
+b101000001000 #
+#6520000
+0!
+0&
+#6525000
+1!
+1&
+b101000001100 (
+b101000001100 #
+#6530000
+0!
+0&
+#6535000
+1!
+1&
+b101000010000 (
+b101000010000 #
+#6540000
+0!
+0&
+#6545000
+1!
+1&
+b101000010100 (
+b101000010100 #
+#6550000
+0!
+0&
+#6555000
+1!
+1&
+b101000011000 (
+b101000011000 #
+#6560000
+0!
+0&
+#6565000
+1!
+1&
+b101000011100 (
+b101000011100 #
+#6570000
+0!
+0&
+#6575000
+1!
+1&
+b101000100000 (
+b101000100000 #
+#6580000
+0!
+0&
+#6585000
+1!
+1&
+b101000100100 (
+b101000100100 #
+#6590000
+0!
+0&
+#6595000
+1!
+1&
+b101000101000 (
+b101000101000 #
+#6600000
+0!
+0&
+#6605000
+1!
+1&
+b101000101100 (
+b101000101100 #
+#6610000
+0!
+0&
+#6615000
+1!
+1&
+b101000110000 (
+b101000110000 #
+#6620000
+0!
+0&
+#6625000
+1!
+1&
+b101000110100 (
+b101000110100 #
+#6630000
+0!
+0&
+#6635000
+1!
+1&
+b101000111000 (
+b101000111000 #
+#6640000
+0!
+0&
+#6645000
+1!
+1&
+b101000111100 (
+b101000111100 #
+#6650000
+0!
+0&
+#6655000
+1!
+1&
+b101001000000 (
+b101001000000 #
+#6660000
+0!
+0&
+#6665000
+1!
+1&
+b101001000100 (
+b101001000100 #
+#6670000
+0!
+0&
+#6675000
+1!
+1&
+b101001001000 (
+b101001001000 #
+#6680000
+0!
+0&
+#6685000
+1!
+1&
+b101001001100 (
+b101001001100 #
+#6690000
+0!
+0&
+#6695000
+1!
+1&
+b101001010000 (
+b101001010000 #
+#6700000
+0!
+0&
+#6705000
+1!
+1&
+b101001010100 (
+b101001010100 #
+#6710000
+0!
+0&
+#6715000
+1!
+1&
+b101001011000 (
+b101001011000 #
+#6720000
+0!
+0&
+#6725000
+1!
+1&
+b101001011100 (
+b101001011100 #
+#6730000
+0!
+0&
+#6735000
+1!
+1&
+b101001100000 (
+b101001100000 #
+#6740000
+0!
+0&
+#6745000
+1!
+1&
+b101001100100 (
+b101001100100 #
+#6750000
+0!
+0&
+#6755000
+1!
+1&
+b101001101000 (
+b101001101000 #
+#6760000
+0!
+0&
+#6765000
+1!
+1&
+b101001101100 (
+b101001101100 #
+#6770000
+0!
+0&
+#6775000
+1!
+1&
+b101001110000 (
+b101001110000 #
+#6780000
+0!
+0&
+#6785000
+1!
+1&
+b101001110100 (
+b101001110100 #
+#6790000
+0!
+0&
+#6795000
+1!
+1&
+b101001111000 (
+b101001111000 #
+#6800000
+0!
+0&
+#6805000
+1!
+1&
+b101001111100 (
+b101001111100 #
+#6810000
+0!
+0&
+#6815000
+1!
+1&
+b101010000000 (
+b101010000000 #
+#6820000
+0!
+0&
+#6825000
+1!
+1&
+b101010000100 (
+b101010000100 #
+#6830000
+0!
+0&
+#6835000
+1!
+1&
+b101010001000 (
+b101010001000 #
+#6840000
+0!
+0&
+#6845000
+1!
+1&
+b101010001100 (
+b101010001100 #
+#6850000
+0!
+0&
+#6855000
+1!
+1&
+b101010010000 (
+b101010010000 #
+#6860000
+0!
+0&
+#6865000
+1!
+1&
+b101010010100 (
+b101010010100 #
+#6870000
+0!
+0&
+#6875000
+1!
+1&
+b101010011000 (
+b101010011000 #
+#6880000
+0!
+0&
+#6885000
+1!
+1&
+b101010011100 (
+b101010011100 #
+#6890000
+0!
+0&
+#6895000
+1!
+1&
+b101010100000 (
+b101010100000 #
+#6900000
+0!
+0&
+#6905000
+1!
+1&
+b101010100100 (
+b101010100100 #
+#6910000
+0!
+0&
+#6915000
+1!
+1&
+b101010101000 (
+b101010101000 #
+#6920000
+0!
+0&
+#6925000
+1!
+1&
+b101010101100 (
+b101010101100 #
+#6930000
+0!
+0&
+#6935000
+1!
+1&
+b101010110000 (
+b101010110000 #
+#6940000
+0!
+0&
+#6945000
+1!
+1&
+b101010110100 (
+b101010110100 #
+#6950000
+0!
+0&
+#6955000
+1!
+1&
+b101010111000 (
+b101010111000 #
+#6960000
+0!
+0&
+#6965000
+1!
+1&
+b101010111100 (
+b101010111100 #
+#6970000
+0!
+0&
+#6975000
+1!
+1&
+b101011000000 (
+b101011000000 #
+#6980000
+0!
+0&
+#6985000
+1!
+1&
+b101011000100 (
+b101011000100 #
+#6990000
+0!
+0&
+#6995000
+1!
+1&
+b101011001000 (
+b101011001000 #
+#7000000
+0!
+0&
+#7005000
+1!
+1&
+b101011001100 (
+b101011001100 #
+#7010000
+0!
+0&
+#7015000
+1!
+1&
+b101011010000 (
+b101011010000 #
+#7020000
+0!
+0&
+#7025000
+1!
+1&
+b101011010100 (
+b101011010100 #
+#7030000
+0!
+0&
+#7035000
+1!
+1&
+b101011011000 (
+b101011011000 #
+#7040000
+0!
+0&
+#7045000
+1!
+1&
+b101011011100 (
+b101011011100 #
+#7050000
+0!
+0&
+#7055000
+1!
+1&
+b101011100000 (
+b101011100000 #
+#7060000
+0!
+0&
+#7065000
+1!
+1&
+b101011100100 (
+b101011100100 #
+#7070000
+0!
+0&
+#7075000
+1!
+1&
+b101011101000 (
+b101011101000 #
+#7080000
+0!
+0&
+#7085000
+1!
+1&
+b101011101100 (
+b101011101100 #
+#7090000
+0!
+0&
+#7095000
+1!
+1&
+b101011110000 (
+b101011110000 #
+#7100000
+0!
+0&
+#7105000
+1!
+1&
+b101011110100 (
+b101011110100 #
+#7110000
+0!
+0&
+#7115000
+1!
+1&
+b101011111000 (
+b101011111000 #
+#7120000
+0!
+0&
+#7125000
+1!
+1&
+b101011111100 (
+b101011111100 #
+#7130000
+0!
+0&
+#7135000
+1!
+1&
+b101100000000 (
+b101100000000 #
+#7140000
+0!
+0&
+#7145000
+1!
+1&
+b101100000100 (
+b101100000100 #
+#7150000
+0!
+0&
+#7155000
+1!
+1&
+b101100001000 (
+b101100001000 #
+#7160000
+0!
+0&
+#7165000
+1!
+1&
+b101100001100 (
+b101100001100 #
+#7170000
+0!
+0&
+#7175000
+1!
+1&
+b101100010000 (
+b101100010000 #
+#7180000
+0!
+0&
+#7185000
+1!
+1&
+b101100010100 (
+b101100010100 #
+#7190000
+0!
+0&
+#7195000
+1!
+1&
+b101100011000 (
+b101100011000 #
+#7200000
+0!
+0&
+#7205000
+1!
+1&
+b101100011100 (
+b101100011100 #
+#7210000
+0!
+0&
+#7215000
+1!
+1&
+b101100100000 (
+b101100100000 #
+#7220000
+0!
+0&
+#7225000
+1!
+1&
+b101100100100 (
+b101100100100 #
+#7230000
+0!
+0&
+#7235000
+1!
+1&
+b101100101000 (
+b101100101000 #
+#7240000
+0!
+0&
+#7245000
+1!
+1&
+b101100101100 (
+b101100101100 #
+#7250000
+0!
+0&
+#7255000
+1!
+1&
+b101100110000 (
+b101100110000 #
+#7260000
+0!
+0&
+#7265000
+1!
+1&
+b101100110100 (
+b101100110100 #
+#7270000
+0!
+0&
+#7275000
+1!
+1&
+b101100111000 (
+b101100111000 #
+#7280000
+0!
+0&
+#7285000
+1!
+1&
+b101100111100 (
+b101100111100 #
+#7290000
+0!
+0&
+#7295000
+1!
+1&
+b101101000000 (
+b101101000000 #
+#7300000
+0!
+0&
+#7305000
+1!
+1&
+b101101000100 (
+b101101000100 #
+#7310000
+0!
+0&
+#7315000
+1!
+1&
+b101101001000 (
+b101101001000 #
+#7320000
+0!
+0&
+#7325000
+1!
+1&
+b101101001100 (
+b101101001100 #
+#7330000
+0!
+0&
+#7335000
+1!
+1&
+b101101010000 (
+b101101010000 #
+#7340000
+0!
+0&
+#7345000
+1!
+1&
+b101101010100 (
+b101101010100 #
+#7350000
+0!
+0&
+#7355000
+1!
+1&
+b101101011000 (
+b101101011000 #
+#7360000
+0!
+0&
+#7365000
+1!
+1&
+b101101011100 (
+b101101011100 #
+#7370000
+0!
+0&
+#7375000
+1!
+1&
+b101101100000 (
+b101101100000 #
+#7380000
+0!
+0&
+#7385000
+1!
+1&
+b101101100100 (
+b101101100100 #
+#7390000
+0!
+0&
+#7395000
+1!
+1&
+b101101101000 (
+b101101101000 #
+#7400000
+0!
+0&
+#7405000
+1!
+1&
+b101101101100 (
+b101101101100 #
+#7410000
+0!
+0&
+#7415000
+1!
+1&
+b101101110000 (
+b101101110000 #
+#7420000
+0!
+0&
+#7425000
+1!
+1&
+b101101110100 (
+b101101110100 #
+#7430000
+0!
+0&
+#7435000
+1!
+1&
+b101101111000 (
+b101101111000 #
+#7440000
+0!
+0&
+#7445000
+1!
+1&
+b101101111100 (
+b101101111100 #
+#7450000
+0!
+0&
+#7455000
+1!
+1&
+b101110000000 (
+b101110000000 #
+#7460000
+0!
+0&
+#7465000
+1!
+1&
+b101110000100 (
+b101110000100 #
+#7470000
+0!
+0&
+#7475000
+1!
+1&
+b101110001000 (
+b101110001000 #
+#7480000
+0!
+0&
+#7485000
+1!
+1&
+b101110001100 (
+b101110001100 #
+#7490000
+0!
+0&
+#7495000
+1!
+1&
+b101110010000 (
+b101110010000 #
+#7500000
+0!
+0&
+#7505000
+1!
+1&
+b101110010100 (
+b101110010100 #
+#7510000
+0!
+0&
+#7515000
+1!
+1&
+b101110011000 (
+b101110011000 #
+#7520000
+0!
+0&
+#7525000
+1!
+1&
+b101110011100 (
+b101110011100 #
+#7530000
+0!
+0&
+#7535000
+1!
+1&
+b101110100000 (
+b101110100000 #
+#7540000
+0!
+0&
+#7545000
+1!
+1&
+b101110100100 (
+b101110100100 #
+#7550000
+0!
+0&
+#7555000
+1!
+1&
+b101110101000 (
+b101110101000 #
+#7560000
+0!
+0&
+#7565000
+1!
+1&
+b101110101100 (
+b101110101100 #
+#7570000
+0!
+0&
+#7575000
+1!
+1&
+b101110110000 (
+b101110110000 #
+#7580000
+0!
+0&
+#7585000
+1!
+1&
+b101110110100 (
+b101110110100 #
+#7590000
+0!
+0&
+#7595000
+1!
+1&
+b101110111000 (
+b101110111000 #
+#7600000
+0!
+0&
+#7605000
+1!
+1&
+b101110111100 (
+b101110111100 #
+#7610000
+0!
+0&
+#7615000
+1!
+1&
+b101111000000 (
+b101111000000 #
+#7620000
+0!
+0&
+#7625000
+1!
+1&
+b101111000100 (
+b101111000100 #
+#7630000
+0!
+0&
+#7635000
+1!
+1&
+b101111001000 (
+b101111001000 #
+#7640000
+0!
+0&
+#7645000
+1!
+1&
+b101111001100 (
+b101111001100 #
+#7650000
+0!
+0&
+#7655000
+1!
+1&
+b101111010000 (
+b101111010000 #
+#7660000
+0!
+0&
+#7665000
+1!
+1&
+b101111010100 (
+b101111010100 #
+#7670000
+0!
+0&
+#7675000
+1!
+1&
+b101111011000 (
+b101111011000 #
+#7680000
+0!
+0&
+#7685000
+1!
+1&
+b101111011100 (
+b101111011100 #
+#7690000
+0!
+0&
+#7695000
+1!
+1&
+b101111100000 (
+b101111100000 #
+#7700000
+0!
+0&
+#7705000
+1!
+1&
+b101111100100 (
+b101111100100 #
+#7710000
+0!
+0&
+#7715000
+1!
+1&
+b101111101000 (
+b101111101000 #
+#7720000
+0!
+0&
+#7725000
+1!
+1&
+b101111101100 (
+b101111101100 #
+#7730000
+0!
+0&
+#7735000
+1!
+1&
+b101111110000 (
+b101111110000 #
+#7740000
+0!
+0&
+#7745000
+1!
+1&
+b101111110100 (
+b101111110100 #
+#7750000
+0!
+0&
+#7755000
+1!
+1&
+b101111111000 (
+b101111111000 #
+#7760000
+0!
+0&
+#7765000
+1!
+1&
+b101111111100 (
+b101111111100 #
+#7770000
+0!
+0&
+#7775000
+1!
+1&
+b110000000000 (
+b110000000000 #
+#7780000
+0!
+0&
+#7785000
+1!
+1&
+b110000000100 (
+b110000000100 #
+#7790000
+0!
+0&
+#7795000
+1!
+1&
+b110000001000 (
+b110000001000 #
+#7800000
+0!
+0&
+#7805000
+1!
+1&
+b110000001100 (
+b110000001100 #
+#7810000
+0!
+0&
+#7815000
+1!
+1&
+b110000010000 (
+b110000010000 #
+#7820000
+0!
+0&
+#7825000
+1!
+1&
+b110000010100 (
+b110000010100 #
+#7830000
+0!
+0&
+#7835000
+1!
+1&
+b110000011000 (
+b110000011000 #
+#7840000
+0!
+0&
+#7845000
+1!
+1&
+b110000011100 (
+b110000011100 #
+#7850000
+0!
+0&
+#7855000
+1!
+1&
+b110000100000 (
+b110000100000 #
+#7860000
+0!
+0&
+#7865000
+1!
+1&
+b110000100100 (
+b110000100100 #
+#7870000
+0!
+0&
+#7875000
+1!
+1&
+b110000101000 (
+b110000101000 #
+#7880000
+0!
+0&
+#7885000
+1!
+1&
+b110000101100 (
+b110000101100 #
+#7890000
+0!
+0&
+#7895000
+1!
+1&
+b110000110000 (
+b110000110000 #
+#7900000
+0!
+0&
+#7905000
+1!
+1&
+b110000110100 (
+b110000110100 #
+#7910000
+0!
+0&
+#7915000
+1!
+1&
+b110000111000 (
+b110000111000 #
+#7920000
+0!
+0&
+#7925000
+1!
+1&
+b110000111100 (
+b110000111100 #
+#7930000
+0!
+0&
+#7935000
+1!
+1&
+b110001000000 (
+b110001000000 #
+#7940000
+0!
+0&
+#7945000
+1!
+1&
+b110001000100 (
+b110001000100 #
+#7950000
+0!
+0&
+#7955000
+1!
+1&
+b110001001000 (
+b110001001000 #
+#7960000
+0!
+0&
+#7965000
+1!
+1&
+b110001001100 (
+b110001001100 #
+#7970000
+0!
+0&
+#7975000
+1!
+1&
+b110001010000 (
+b110001010000 #
+#7980000
+0!
+0&
+#7985000
+1!
+1&
+b110001010100 (
+b110001010100 #
+#7990000
+0!
+0&
+#7995000
+1!
+1&
+b110001011000 (
+b110001011000 #
+#8000000
+0!
+0&
+#8005000
+1!
+1&
+b110001011100 (
+b110001011100 #
+#8010000
+0!
+0&
+#8015000
+1!
+1&
+b110001100000 (
+b110001100000 #
+#8020000
+0!
+0&
+#8025000
+1!
+1&
+b110001100100 (
+b110001100100 #
+#8030000
+0!
+0&
+#8035000
+1!
+1&
+b110001101000 (
+b110001101000 #
+#8040000
+0!
+0&
+#8045000
+1!
+1&
+b110001101100 (
+b110001101100 #
+#8050000
+0!
+0&
+#8055000
+1!
+1&
+b110001110000 (
+b110001110000 #
+#8060000
+0!
+0&
+#8065000
+1!
+1&
+b110001110100 (
+b110001110100 #
+#8070000
+0!
+0&
+#8075000
+1!
+1&
+b110001111000 (
+b110001111000 #
+#8080000
+0!
+0&
+#8085000
+1!
+1&
+b110001111100 (
+b110001111100 #
+#8090000
+0!
+0&
+#8095000
+1!
+1&
+b110010000000 (
+b110010000000 #
+#8100000
+0!
+0&
+#8105000
+1!
+1&
+b110010000100 (
+b110010000100 #
+#8110000
+0!
+0&
+#8115000
+1!
+1&
+b110010001000 (
+b110010001000 #
+#8120000
+0!
+0&
+#8125000
+1!
+1&
+b110010001100 (
+b110010001100 #
+#8130000
+0!
+0&
+#8135000
+1!
+1&
+b110010010000 (
+b110010010000 #
+#8140000
+0!
+0&
+#8145000
+1!
+1&
+b110010010100 (
+b110010010100 #
+#8150000
+0!
+0&
+#8155000
+1!
+1&
+b110010011000 (
+b110010011000 #
+#8160000
+0!
+0&
+#8165000
+1!
+1&
+b110010011100 (
+b110010011100 #
+#8170000
+0!
+0&
+#8175000
+1!
+1&
+b110010100000 (
+b110010100000 #
+#8180000
+0!
+0&
+#8185000
+1!
+1&
+b110010100100 (
+b110010100100 #
+#8190000
+0!
+0&
+#8195000
+1!
+1&
+b110010101000 (
+b110010101000 #
+#8200000
+0!
+0&
+#8205000
+1!
+1&
+b110010101100 (
+b110010101100 #
+#8210000
+0!
+0&
+#8215000
+1!
+1&
+b110010110000 (
+b110010110000 #
+#8220000
+0!
+0&
+#8225000
+1!
+1&
+b110010110100 (
+b110010110100 #
+#8230000
+0!
+0&
+#8235000
+1!
+1&
+b110010111000 (
+b110010111000 #
+#8240000
+0!
+0&
+#8245000
+1!
+1&
+b110010111100 (
+b110010111100 #
+#8250000
+0!
+0&
+#8255000
+1!
+1&
+b110011000000 (
+b110011000000 #
+#8260000
+0!
+0&
+#8265000
+1!
+1&
+b110011000100 (
+b110011000100 #
+#8270000
+0!
+0&
+#8275000
+1!
+1&
+b110011001000 (
+b110011001000 #
+#8280000
+0!
+0&
+#8285000
+1!
+1&
+b110011001100 (
+b110011001100 #
+#8290000
+0!
+0&
+#8295000
+1!
+1&
+b110011010000 (
+b110011010000 #
+#8300000
+0!
+0&
+#8305000
+1!
+1&
+b110011010100 (
+b110011010100 #
+#8310000
+0!
+0&
+#8315000
+1!
+1&
+b110011011000 (
+b110011011000 #
+#8320000
+0!
+0&
+#8325000
+1!
+1&
+b110011011100 (
+b110011011100 #
+#8330000
+0!
+0&
+#8335000
+1!
+1&
+b110011100000 (
+b110011100000 #
+#8340000
+0!
+0&
+#8345000
+1!
+1&
+b110011100100 (
+b110011100100 #
+#8350000
+0!
+0&
+#8355000
+1!
+1&
+b110011101000 (
+b110011101000 #
+#8360000
+0!
+0&
+#8365000
+1!
+1&
+b110011101100 (
+b110011101100 #
+#8370000
+0!
+0&
+#8375000
+1!
+1&
+b110011110000 (
+b110011110000 #
+#8380000
+0!
+0&
+#8385000
+1!
+1&
+b110011110100 (
+b110011110100 #
+#8390000
+0!
+0&
+#8395000
+1!
+1&
+b110011111000 (
+b110011111000 #
+#8400000
+0!
+0&
+#8405000
+1!
+1&
+b110011111100 (
+b110011111100 #
+#8410000
+0!
+0&
+#8415000
+1!
+1&
+b110100000000 (
+b110100000000 #
+#8420000
+0!
+0&
+#8425000
+1!
+1&
+b110100000100 (
+b110100000100 #
+#8430000
+0!
+0&
+#8435000
+1!
+1&
+b110100001000 (
+b110100001000 #
+#8440000
+0!
+0&
+#8445000
+1!
+1&
+b110100001100 (
+b110100001100 #
+#8450000
+0!
+0&
+#8455000
+1!
+1&
+b110100010000 (
+b110100010000 #
+#8460000
+0!
+0&
+#8465000
+1!
+1&
+b110100010100 (
+b110100010100 #
+#8470000
+0!
+0&
+#8475000
+1!
+1&
+b110100011000 (
+b110100011000 #
+#8480000
+0!
+0&
+#8485000
+1!
+1&
+b110100011100 (
+b110100011100 #
+#8490000
+0!
+0&
+#8495000
+1!
+1&
+b110100100000 (
+b110100100000 #
+#8500000
+0!
+0&
+#8505000
+1!
+1&
+b110100100100 (
+b110100100100 #
+#8510000
+0!
+0&
+#8515000
+1!
+1&
+b110100101000 (
+b110100101000 #
+#8520000
+0!
+0&
+#8525000
+1!
+1&
+b110100101100 (
+b110100101100 #
+#8530000
+0!
+0&
+#8535000
+1!
+1&
+b110100110000 (
+b110100110000 #
+#8540000
+0!
+0&
+#8545000
+1!
+1&
+b110100110100 (
+b110100110100 #
+#8550000
+0!
+0&
+#8555000
+1!
+1&
+b110100111000 (
+b110100111000 #
+#8560000
+0!
+0&
+#8565000
+1!
+1&
+b110100111100 (
+b110100111100 #
+#8570000
+0!
+0&
+#8575000
+1!
+1&
+b110101000000 (
+b110101000000 #
+#8580000
+0!
+0&
+#8585000
+1!
+1&
+b110101000100 (
+b110101000100 #
+#8590000
+0!
+0&
+#8595000
+1!
+1&
+b110101001000 (
+b110101001000 #
+#8600000
+0!
+0&
+#8605000
+1!
+1&
+b110101001100 (
+b110101001100 #
+#8610000
+0!
+0&
+#8615000
+1!
+1&
+b110101010000 (
+b110101010000 #
+#8620000
+0!
+0&
+#8625000
+1!
+1&
+b110101010100 (
+b110101010100 #
+#8630000
+0!
+0&
+#8635000
+1!
+1&
+b110101011000 (
+b110101011000 #
+#8640000
+0!
+0&
+#8645000
+1!
+1&
+b110101011100 (
+b110101011100 #
+#8650000
+0!
+0&
+#8655000
+1!
+1&
+b110101100000 (
+b110101100000 #
+#8660000
+0!
+0&
+#8665000
+1!
+1&
+b110101100100 (
+b110101100100 #
+#8670000
+0!
+0&
+#8675000
+1!
+1&
+b110101101000 (
+b110101101000 #
+#8680000
+0!
+0&
+#8685000
+1!
+1&
+b110101101100 (
+b110101101100 #
+#8690000
+0!
+0&
+#8695000
+1!
+1&
+b110101110000 (
+b110101110000 #
+#8700000
+0!
+0&
+#8705000
+1!
+1&
+b110101110100 (
+b110101110100 #
+#8710000
+0!
+0&
+#8715000
+1!
+1&
+b110101111000 (
+b110101111000 #
+#8720000
+0!
+0&
+#8725000
+1!
+1&
+b110101111100 (
+b110101111100 #
+#8730000
+0!
+0&
+#8735000
+1!
+1&
+b110110000000 (
+b110110000000 #
+#8740000
+0!
+0&
+#8745000
+1!
+1&
+b110110000100 (
+b110110000100 #
+#8750000
+0!
+0&
+#8755000
+1!
+1&
+b110110001000 (
+b110110001000 #
+#8760000
+0!
+0&
+#8765000
+1!
+1&
+b110110001100 (
+b110110001100 #
+#8770000
+0!
+0&
+#8775000
+1!
+1&
+b110110010000 (
+b110110010000 #
+#8780000
+0!
+0&
+#8785000
+1!
+1&
+b110110010100 (
+b110110010100 #
+#8790000
+0!
+0&
+#8795000
+1!
+1&
+b110110011000 (
+b110110011000 #
+#8800000
+0!
+0&
+#8805000
+1!
+1&
+b110110011100 (
+b110110011100 #
+#8810000
+0!
+0&
+#8815000
+1!
+1&
+b110110100000 (
+b110110100000 #
+#8820000
+0!
+0&
+#8825000
+1!
+1&
+b110110100100 (
+b110110100100 #
+#8830000
+0!
+0&
+#8835000
+1!
+1&
+b110110101000 (
+b110110101000 #
+#8840000
+0!
+0&
+#8845000
+1!
+1&
+b110110101100 (
+b110110101100 #
+#8850000
+0!
+0&
+#8855000
+1!
+1&
+b110110110000 (
+b110110110000 #
+#8860000
+0!
+0&
+#8865000
+1!
+1&
+b110110110100 (
+b110110110100 #
+#8870000
+0!
+0&
+#8875000
+1!
+1&
+b110110111000 (
+b110110111000 #
+#8880000
+0!
+0&
+#8885000
+1!
+1&
+b110110111100 (
+b110110111100 #
+#8890000
+0!
+0&
+#8895000
+1!
+1&
+b110111000000 (
+b110111000000 #
+#8900000
+0!
+0&
+#8905000
+1!
+1&
+b110111000100 (
+b110111000100 #
+#8910000
+0!
+0&
+#8915000
+1!
+1&
+b110111001000 (
+b110111001000 #
+#8920000
+0!
+0&
+#8925000
+1!
+1&
+b110111001100 (
+b110111001100 #
+#8930000
+0!
+0&
+#8935000
+1!
+1&
+b110111010000 (
+b110111010000 #
+#8940000
+0!
+0&
+#8945000
+1!
+1&
+b110111010100 (
+b110111010100 #
+#8950000
+0!
+0&
+#8955000
+1!
+1&
+b110111011000 (
+b110111011000 #
+#8960000
+0!
+0&
+#8965000
+1!
+1&
+b110111011100 (
+b110111011100 #
+#8970000
+0!
+0&
+#8975000
+1!
+1&
+b110111100000 (
+b110111100000 #
+#8980000
+0!
+0&
+#8985000
+1!
+1&
+b110111100100 (
+b110111100100 #
+#8990000
+0!
+0&
+#8995000
+1!
+1&
+b110111101000 (
+b110111101000 #
+#9000000
+0!
+0&
+#9005000
+1!
+1&
+b110111101100 (
+b110111101100 #
+#9010000
+0!
+0&
+#9015000
+1!
+1&
+b110111110000 (
+b110111110000 #
+#9020000
+0!
+0&
+#9025000
+1!
+1&
+b110111110100 (
+b110111110100 #
+#9030000
+0!
+0&
+#9035000
+1!
+1&
+b110111111000 (
+b110111111000 #
+#9040000
+0!
+0&
+#9045000
+1!
+1&
+b110111111100 (
+b110111111100 #
+#9050000
+0!
+0&
+#9055000
+1!
+1&
+b111000000000 (
+b111000000000 #
+#9060000
+0!
+0&
+#9065000
+1!
+1&
+b111000000100 (
+b111000000100 #
+#9070000
+0!
+0&
+#9075000
+1!
+1&
+b111000001000 (
+b111000001000 #
+#9080000
+0!
+0&
+#9085000
+1!
+1&
+b111000001100 (
+b111000001100 #
+#9090000
+0!
+0&
+#9095000
+1!
+1&
+b111000010000 (
+b111000010000 #
+#9100000
+0!
+0&
+#9105000
+1!
+1&
+b111000010100 (
+b111000010100 #
+#9110000
+0!
+0&
+#9115000
+1!
+1&
+b111000011000 (
+b111000011000 #
+#9120000
+0!
+0&
+#9125000
+1!
+1&
+b111000011100 (
+b111000011100 #
+#9130000
+0!
+0&
+#9135000
+1!
+1&
+b111000100000 (
+b111000100000 #
+#9140000
+0!
+0&
+#9145000
+1!
+1&
+b111000100100 (
+b111000100100 #
+#9150000
+0!
+0&
+#9155000
+1!
+1&
+b111000101000 (
+b111000101000 #
+#9160000
+0!
+0&
+#9165000
+1!
+1&
+b111000101100 (
+b111000101100 #
+#9170000
+0!
+0&
+#9175000
+1!
+1&
+b111000110000 (
+b111000110000 #
+#9180000
+0!
+0&
+#9185000
+1!
+1&
+b111000110100 (
+b111000110100 #
+#9190000
+0!
+0&
+#9195000
+1!
+1&
+b111000111000 (
+b111000111000 #
+#9200000
+0!
+0&
+#9205000
+1!
+1&
+b111000111100 (
+b111000111100 #
+#9210000
+0!
+0&
+#9215000
+1!
+1&
+b111001000000 (
+b111001000000 #
+#9220000
+0!
+0&
+#9225000
+1!
+1&
+b111001000100 (
+b111001000100 #
+#9230000
+0!
+0&
+#9235000
+1!
+1&
+b111001001000 (
+b111001001000 #
+#9240000
+0!
+0&
+#9245000
+1!
+1&
+b111001001100 (
+b111001001100 #
+#9250000
+0!
+0&
+#9255000
+1!
+1&
+b111001010000 (
+b111001010000 #
+#9260000
+0!
+0&
+#9265000
+1!
+1&
+b111001010100 (
+b111001010100 #
+#9270000
+0!
+0&
+#9275000
+1!
+1&
+b111001011000 (
+b111001011000 #
+#9280000
+0!
+0&
+#9285000
+1!
+1&
+b111001011100 (
+b111001011100 #
+#9290000
+0!
+0&
+#9295000
+1!
+1&
+b111001100000 (
+b111001100000 #
+#9300000
+0!
+0&
+#9305000
+1!
+1&
+b111001100100 (
+b111001100100 #
+#9310000
+0!
+0&
+#9315000
+1!
+1&
+b111001101000 (
+b111001101000 #
+#9320000
+0!
+0&
+#9325000
+1!
+1&
+b111001101100 (
+b111001101100 #
+#9330000
+0!
+0&
+#9335000
+1!
+1&
+b111001110000 (
+b111001110000 #
+#9340000
+0!
+0&
+#9345000
+1!
+1&
+b111001110100 (
+b111001110100 #
+#9350000
+0!
+0&
+#9355000
+1!
+1&
+b111001111000 (
+b111001111000 #
+#9360000
+0!
+0&
+#9365000
+1!
+1&
+b111001111100 (
+b111001111100 #
+#9370000
+0!
+0&
+#9375000
+1!
+1&
+b111010000000 (
+b111010000000 #
+#9380000
+0!
+0&
+#9385000
+1!
+1&
+b111010000100 (
+b111010000100 #
+#9390000
+0!
+0&
+#9395000
+1!
+1&
+b111010001000 (
+b111010001000 #
+#9400000
+0!
+0&
+#9405000
+1!
+1&
+b111010001100 (
+b111010001100 #
+#9410000
+0!
+0&
+#9415000
+1!
+1&
+b111010010000 (
+b111010010000 #
+#9420000
+0!
+0&
+#9425000
+1!
+1&
+b111010010100 (
+b111010010100 #
+#9430000
+0!
+0&
+#9435000
+1!
+1&
+b111010011000 (
+b111010011000 #
+#9440000
+0!
+0&
+#9445000
+1!
+1&
+b111010011100 (
+b111010011100 #
+#9450000
+0!
+0&
+#9455000
+1!
+1&
+b111010100000 (
+b111010100000 #
+#9460000
+0!
+0&
+#9465000
+1!
+1&
+b111010100100 (
+b111010100100 #
+#9470000
+0!
+0&
+#9475000
+1!
+1&
+b111010101000 (
+b111010101000 #
+#9480000
+0!
+0&
+#9485000
+1!
+1&
+b111010101100 (
+b111010101100 #
+#9490000
+0!
+0&
+#9495000
+1!
+1&
+b111010110000 (
+b111010110000 #
+#9500000
+0!
+0&
+#9505000
+1!
+1&
+b111010110100 (
+b111010110100 #
+#9510000
+0!
+0&
+#9515000
+1!
+1&
+b111010111000 (
+b111010111000 #
+#9520000
+0!
+0&
+#9525000
+1!
+1&
+b111010111100 (
+b111010111100 #
+#9530000
+0!
+0&
+#9535000
+1!
+1&
+b111011000000 (
+b111011000000 #
+#9540000
+0!
+0&
+#9545000
+1!
+1&
+b111011000100 (
+b111011000100 #
+#9550000
+0!
+0&
+#9555000
+1!
+1&
+b111011001000 (
+b111011001000 #
+#9560000
+0!
+0&
+#9565000
+1!
+1&
+b111011001100 (
+b111011001100 #
+#9570000
+0!
+0&
+#9575000
+1!
+1&
+b111011010000 (
+b111011010000 #
+#9580000
+0!
+0&
+#9585000
+1!
+1&
+b111011010100 (
+b111011010100 #
+#9590000
+0!
+0&
+#9595000
+1!
+1&
+b111011011000 (
+b111011011000 #
+#9600000
+0!
+0&
+#9605000
+1!
+1&
+b111011011100 (
+b111011011100 #
+#9610000
+0!
+0&
+#9615000
+1!
+1&
+b111011100000 (
+b111011100000 #
+#9620000
+0!
+0&
+#9625000
+1!
+1&
+b111011100100 (
+b111011100100 #
+#9630000
+0!
+0&
+#9635000
+1!
+1&
+b111011101000 (
+b111011101000 #
+#9640000
+0!
+0&
+#9645000
+1!
+1&
+b111011101100 (
+b111011101100 #
+#9650000
+0!
+0&
+#9655000
+1!
+1&
+b111011110000 (
+b111011110000 #
+#9660000
+0!
+0&
+#9665000
+1!
+1&
+b111011110100 (
+b111011110100 #
+#9670000
+0!
+0&
+#9675000
+1!
+1&
+b111011111000 (
+b111011111000 #
+#9680000
+0!
+0&
+#9685000
+1!
+1&
+b111011111100 (
+b111011111100 #
+#9690000
+0!
+0&
+#9695000
+1!
+1&
+b111100000000 (
+b111100000000 #
+#9700000
+0!
+0&
+#9705000
+1!
+1&
+b111100000100 (
+b111100000100 #
+#9710000
+0!
+0&
+#9715000
+1!
+1&
+b111100001000 (
+b111100001000 #
+#9720000
+0!
+0&
+#9725000
+1!
+1&
+b111100001100 (
+b111100001100 #
+#9730000
+0!
+0&
+#9735000
+1!
+1&
+b111100010000 (
+b111100010000 #
+#9740000
+0!
+0&
+#9745000
+1!
+1&
+b111100010100 (
+b111100010100 #
+#9750000
+0!
+0&
+#9755000
+1!
+1&
+b111100011000 (
+b111100011000 #
+#9760000
+0!
+0&
+#9765000
+1!
+1&
+b111100011100 (
+b111100011100 #
+#9770000
+0!
+0&
+#9775000
+1!
+1&
+b111100100000 (
+b111100100000 #
+#9780000
+0!
+0&
+#9785000
+1!
+1&
+b111100100100 (
+b111100100100 #
+#9790000
+0!
+0&
+#9795000
+1!
+1&
+b111100101000 (
+b111100101000 #
+#9800000
+0!
+0&
+#9805000
+1!
+1&
+b111100101100 (
+b111100101100 #
+#9810000
+0!
+0&
+#9815000
+1!
+1&
+b111100110000 (
+b111100110000 #
+#9820000
+0!
+0&
+#9825000
+1!
+1&
+b111100110100 (
+b111100110100 #
+#9830000
+0!
+0&
+#9835000
+1!
+1&
+b111100111000 (
+b111100111000 #
+#9840000
+0!
+0&
+#9845000
+1!
+1&
+b111100111100 (
+b111100111100 #
+#9850000
+0!
+0&
+#9855000
+1!
+1&
+b111101000000 (
+b111101000000 #
+#9860000
+0!
+0&
+#9865000
+1!
+1&
+b111101000100 (
+b111101000100 #
+#9870000
+0!
+0&
+#9875000
+1!
+1&
+b111101001000 (
+b111101001000 #
+#9880000
+0!
+0&
+#9885000
+1!
+1&
+b111101001100 (
+b111101001100 #
+#9890000
+0!
+0&
+#9895000
+1!
+1&
+b111101010000 (
+b111101010000 #
+#9900000
+0!
+0&
+#9905000
+1!
+1&
+b111101010100 (
+b111101010100 #
+#9910000
+0!
+0&
+#9915000
+1!
+1&
+b111101011000 (
+b111101011000 #
+#9920000
+0!
+0&
+#9925000
+1!
+1&
+b111101011100 (
+b111101011100 #
+#9930000
+0!
+0&
+#9935000
+1!
+1&
+b111101100000 (
+b111101100000 #
+#9940000
+0!
+0&
+#9945000
+1!
+1&
+b111101100100 (
+b111101100100 #
+#9950000
+0!
+0&
+#9955000
+1!
+1&
+b111101101000 (
+b111101101000 #
+#9960000
+0!
+0&
+#9965000
+1!
+1&
+b111101101100 (
+b111101101100 #
+#9970000
+0!
+0&
+#9975000
+1!
+1&
+b111101110000 (
+b111101110000 #
+#9980000
+0!
+0&
+#9985000
+1!
+1&
+b111101110100 (
+b111101110100 #
+#9990000
+0!
+0&
+#9995000
+1!
+1&
+b111101111000 (
+b111101111000 #
+#10000000
+0!
+0&
+#10005000
+1!
+1&
+b111101111100 (
+b111101111100 #
+#10010000
+0!
+0&
+#10015000
+1!
+1&
+b111110000000 (
+b111110000000 #
+#10020000
+0!
+0&
+#10025000
+1!
+1&
+b111110000100 (
+b111110000100 #
+#10030000
+0!
+0&
+#10035000
+1!
+1&
+b111110001000 (
+b111110001000 #
+#10040000
+0!
+0&
+#10045000
+1!
+1&
+b111110001100 (
+b111110001100 #
+#10050000
+0!
+0&
+#10055000
+1!
+1&
+b111110010000 (
+b111110010000 #
+#10060000
+0!
+0&
+#10065000
+1!
+1&
+b111110010100 (
+b111110010100 #
+#10070000
+0!
+0&
+#10075000
+1!
+1&
+b111110011000 (
+b111110011000 #
+#10080000
+0!
+0&
+#10085000
+1!
+1&
+b111110011100 (
+b111110011100 #
+#10090000
+0!
+0&
+#10095000
+1!
+1&
+b111110100000 (
+b111110100000 #
+#10100000